vlib: leave SIGCONT signal with its default handler
[vpp.git] / src / vpp / api / vpp_get_metrics.c
index 41d2393..a3860ab 100644 (file)
@@ -77,6 +77,7 @@ setup_signal_handlers (void)
          /* these signals take the default action */
        case SIGABRT:
        case SIGKILL:
+       case SIGCONT:
        case SIGSTOP:
        case SIGUSR1:
        case SIGUSR2: