X-Git-Url: https://gerrit.fd.io/r/gitweb?a=blobdiff_plain;ds=sidebyside;f=vnet%2Fvnet%2Ffib%2Ffib_entry_src.c;h=0bca17dd884aa85a5dd69eb5c65bfa57c2b2455a;hb=5e575b1d59a5a4c1590ca09d6383a876fb9fdd25;hp=70550069356d480d580de52677363f9bf8d249e3;hpb=553d808fc44e61846e4cda108083dd88beb338e3;p=vpp.git diff --git a/vnet/vnet/fib/fib_entry_src.c b/vnet/vnet/fib/fib_entry_src.c index 70550069356..0bca17dd884 100644 --- a/vnet/vnet/fib/fib_entry_src.c +++ b/vnet/vnet/fib/fib_entry_src.c @@ -298,6 +298,7 @@ fib_entry_src_collect_forwarding (fib_node_index_t pl_index, } break; case FIB_FORW_CHAIN_TYPE_MPLS_EOS: + case FIB_FORW_CHAIN_TYPE_ETHERNET: ASSERT(0); break; }