X-Git-Url: https://gerrit.fd.io/r/gitweb?a=blobdiff_plain;f=src%2Fplugins%2Fvmxnet3%2Foutput.c;h=2a6418dfa44478333d33d17204f6f9e0b817954b;hb=10c5ff1;hp=32b77dec259b85ea0859585015faa3048c112b17;hpb=b7020d666d300843feeac23357bedb0d06152b0e;p=vpp.git diff --git a/src/plugins/vmxnet3/output.c b/src/plugins/vmxnet3/output.c index 32b77dec259..2a6418dfa44 100644 --- a/src/plugins/vmxnet3/output.c +++ b/src/plugins/vmxnet3/output.c @@ -59,25 +59,22 @@ vmxnet3_txq_release (vlib_main_t * vm, vmxnet3_device_t * vd, vmxnet3_txq_t * txq) { vmxnet3_tx_comp *tx_comp; - u32 bi0; vmxnet3_tx_comp_ring *comp_ring; - u16 eop_idx, desc_idx; comp_ring = &txq->tx_comp_ring; tx_comp = &txq->tx_comp[comp_ring->next]; while ((tx_comp->flags & VMXNET3_TXCF_GEN) == comp_ring->gen) { - eop_idx = tx_comp->index & VMXNET3_TXC_INDEX; - do + u16 eop_idx = tx_comp->index & VMXNET3_TXC_INDEX; + u32 bi0 = txq->tx_ring.bufs[txq->tx_ring.consume]; + + vlib_buffer_free_one (vm, bi0); + while (txq->tx_ring.consume != eop_idx) { - desc_idx = txq->tx_ring.consume; - bi0 = txq->tx_ring.bufs[desc_idx]; - txq->tx_ring.bufs[desc_idx] = ~0; - vlib_buffer_free_no_next (vm, &bi0, 1); vmxnet3_tx_ring_advance_consume (txq); } - while (desc_idx != eop_idx); + vmxnet3_tx_ring_advance_consume (txq); vmxnet3_tx_comp_ring_advance_next (txq); tx_comp = &txq->tx_comp[comp_ring->next]; @@ -103,17 +100,16 @@ VNET_DEVICE_CLASS_TX_FN (vmxnet3_device_class) (vlib_main_t * vm, vmxnet3_main_t *vmxm = &vmxnet3_main; vnet_interface_output_runtime_t *rd = (void *) node->runtime_data; vmxnet3_device_t *vd = pool_elt_at_index (vmxm->devices, rd->dev_instance); - u32 *buffers = vlib_frame_args (frame); + u32 *buffers = vlib_frame_vector_args (frame); u32 bi0; vlib_buffer_t *b0; - vmxnet3_tx_desc *txd; + vmxnet3_tx_desc *txd = 0; u32 desc_idx, generation, first_idx; u16 space_left; u16 n_left = frame->n_vectors; vmxnet3_txq_t *txq; u32 thread_index = vm->thread_index; - u16 qid = thread_index; - u16 n_retry = 5; + u16 qid = thread_index, produce; if (PREDICT_FALSE (!(vd->flags & VMXNET3_DEVICE_F_LINK_UP))) { @@ -126,13 +122,45 @@ VNET_DEVICE_CLASS_TX_FN (vmxnet3_device_class) (vlib_main_t * vm, txq = vec_elt_at_index (vd->txqs, qid % vd->num_tx_queues); clib_spinlock_lock_if_init (&txq->lock); -retry: vmxnet3_txq_release (vm, vd, txq); - while (n_left) + produce = txq->tx_ring.produce; + while (PREDICT_TRUE (n_left)) { + u16 space_needed = 1, i; + vlib_buffer_t *b; + bi0 = buffers[0]; - txd = 0; + b0 = vlib_get_buffer (vm, bi0); + b = b0; + + space_left = vmxnet3_tx_ring_space_left (txq); + while (b->flags & VLIB_BUFFER_NEXT_PRESENT) + { + u32 next_buffer = b->next_buffer; + + b = vlib_get_buffer (vm, next_buffer); + space_needed++; + } + if (PREDICT_FALSE (space_left < space_needed)) + { + vmxnet3_txq_release (vm, vd, txq); + space_left = vmxnet3_tx_ring_space_left (txq); + + if (PREDICT_FALSE (space_left < space_needed)) + { + vlib_buffer_free_one (vm, bi0); + vlib_error_count (vm, node->node_index, + VMXNET3_TX_ERROR_NO_FREE_SLOTS, 1); + buffers++; + n_left--; + /* + * Drop this packet. But we may have enough room for the next + * packet + */ + continue; + } + } /* * Toggle the generation bit for SOP fragment to avoid device starts @@ -140,68 +168,43 @@ retry: */ generation = txq->tx_ring.gen ^ VMXNET3_TXF_GEN; first_idx = txq->tx_ring.produce; - while (1) + for (i = 0; i < space_needed; i++) { b0 = vlib_get_buffer (vm, bi0); VLIB_BUFFER_TRACE_TRAJECTORY_INIT (b0); - space_left = vmxnet3_tx_ring_space_left (txq); - if (PREDICT_FALSE (space_left == 0)) - { - break; - } - desc_idx = txq->tx_ring.produce; vmxnet3_tx_ring_advance_produce (txq); txq->tx_ring.bufs[desc_idx] = bi0; txd = &txq->tx_desc[desc_idx]; - txd->address = - vlib_get_buffer_data_physical_address (vm, - bi0) + b0->current_data; + txd->address = vlib_buffer_get_current_pa (vm, b0); txd->flags[0] = generation | b0->current_length; generation = txq->tx_ring.gen; - if (b0->flags & VLIB_BUFFER_NEXT_PRESENT) - { - txd->flags[1] = 0; - bi0 = b0->next_buffer; - } - else - break; - } - if (PREDICT_TRUE (txd != 0)) - { - txd->flags[1] = VMXNET3_TXF_CQ | VMXNET3_TXF_EOP; - asm volatile ("":::"memory"); - /* - * Now toggle back the generation bit for the first segment. - * Device can start reading the packet - */ - txq->tx_desc[first_idx].flags[0] ^= VMXNET3_TXF_GEN; - vmxnet3_reg_write (vd, 0, VMXNET3_REG_TXPROD, txq->tx_ring.produce); + txd->flags[1] = 0; + bi0 = b0->next_buffer; } - if (PREDICT_FALSE (space_left == 0)) - { - break; - } + txd->flags[1] = VMXNET3_TXF_CQ | VMXNET3_TXF_EOP; + asm volatile ("":::"memory"); + /* + * Now toggle back the generation bit for the first segment. + * Device can start reading the packet + */ + txq->tx_desc[first_idx].flags[0] ^= VMXNET3_TXF_GEN; buffers++; n_left--; } - if (PREDICT_FALSE (n_left)) - { - if (PREDICT_TRUE (n_retry--)) - goto retry; - vlib_buffer_free (vm, buffers, n_left); - vlib_error_count (vm, node->node_index, VMXNET3_TX_ERROR_NO_FREE_SLOTS, - n_left); - } + if (PREDICT_TRUE (produce != txq->tx_ring.produce)) + vmxnet3_reg_write_inline (vd, 0, VMXNET3_REG_TXPROD, + txq->tx_ring.produce); + clib_spinlock_unlock_if_init (&txq->lock); return (frame->n_vectors - n_left);