X-Git-Url: https://gerrit.fd.io/r/gitweb?a=blobdiff_plain;f=src%2Fvcl%2Fvppcom.c;h=dd7e90e2a99496060c662bc7d2c41106661ac3c1;hb=a332c46a51f9b4e13963340dfee1318e7513c124;hp=3ba94be4895986fa9515f5ffa7b13e6146cccaf4;hpb=4e578068fc3fe8ba176d211123ddd88962dab315;p=vpp.git diff --git a/src/vcl/vppcom.c b/src/vcl/vppcom.c index 3ba94be4895..dd7e90e2a99 100644 --- a/src/vcl/vppcom.c +++ b/src/vcl/vppcom.c @@ -1633,7 +1633,6 @@ vppcom_session_disconnect (u32 session_index) { if (is_server) { - svm_fifo_segment_main_t *sm = &svm_fifo_segment_main; svm_fifo_segment_private_t *seg; VCL_LOCK_AND_GET_SESSION (session_index, &session); @@ -1649,7 +1648,7 @@ vppcom_session_disconnect (u32 session_index) session->server_tx_fifo, session->server_tx_fifo->refcnt); - seg = vec_elt_at_index (sm->segments, session->sm_seg_index); + seg = svm_fifo_segment_get_segment (session->sm_seg_index); svm_fifo_segment_free_fifo (seg, session->server_rx_fifo, FIFO_SEGMENT_RX_FREELIST); svm_fifo_segment_free_fifo (seg, session->server_tx_fifo, @@ -2305,8 +2304,8 @@ vppcom_app_create (char *app_name) clib_time_init (&vcm->clib_time); vppcom_init_error_string_table (); - svm_fifo_segment_init (vcl_cfg->segment_baseva, - 20 /* timeout in secs */ ); + svm_fifo_segment_main_init (vcl_cfg->segment_baseva, + 20 /* timeout in secs */ ); clib_spinlock_init (&vcm->sessions_lockp); } @@ -2723,7 +2722,7 @@ vppcom_session_accept (uint32_t listen_session_index, vppcom_endpt_t * ep, { session_t *listen_session = 0; session_t *client_session = 0; - u32 client_session_index = ~0; + u32 client_session_index = ~0, n_fifos; int rv; f64 wait_for; char *cut_thru_str; @@ -2822,9 +2821,6 @@ vppcom_session_accept (uint32_t listen_session_index, vppcom_endpt_t * ep, format ((u8 *) a->segment_name, "%d:segment%d%c", getpid (), vcm->unique_segment_index++, 0); a->segment_size = vcm->cfg.segment_size; - a->preallocated_fifo_pairs = vcm->cfg.preallocated_fifo_pairs; - a->rx_fifo_size = vcm->cfg.rx_fifo_size; - a->tx_fifo_size = vcm->cfg.tx_fifo_size; rv = svm_fifo_segment_create (a); if (PREDICT_FALSE (rv)) @@ -2851,6 +2847,14 @@ vppcom_session_accept (uint32_t listen_session_index, vppcom_endpt_t * ep, vec_free (a->new_segment_indices); seg = svm_fifo_segment_get_segment (client_session->sm_seg_index); + if (vcm->cfg.preallocated_fifo_pairs) + { + n_fifos = vcm->cfg.preallocated_fifo_pairs; + svm_fifo_segment_preallocate_fifo_pairs (seg, vcm->cfg.rx_fifo_size, + vcm->cfg.tx_fifo_size, + &n_fifos); + } + client_session->server_rx_fifo = svm_fifo_segment_alloc_fifo (seg, vcm->cfg.rx_fifo_size, FIFO_SEGMENT_RX_FREELIST); @@ -4559,21 +4563,15 @@ vppcom_session_attr (uint32_t session_index, uint32_t op, /* *INDENT-OFF* */ ELOG_TYPE_DECLARE (e) = { - .format = "VPPCOM_ATTR_GET_LIBC_EPFD: libc_epfd=%s%d buflen=%d", - .format_args = "t1i4i4", - .n_enum_strings = 2, - .enum_strings = {"", "-",}, + .format = "VPPCOM_ATTR_GET_LIBC_EPFD: libc_epfd=%d", + .format_args = "i4", }; CLIB_PACKED (struct { - u8 sign; - u32 data[2]; + i32 data; }) * ed; ed = ELOG_TRACK_DATA (&vcm->elog_main, e, session->elog_track); - - ed->sign = (session->libc_epfd < 0); - ed->data[0] = abs(session->libc_epfd); - ed->data[1] = *buflen; + ed->data = session->libc_epfd; /* *INDENT-ON* */ }