X-Git-Url: https://gerrit.fd.io/r/gitweb?a=blobdiff_plain;f=src%2Fvlib%2Fcounter.c;h=8573b794fd9527f9c174c6c1c00a7f0c16d47a4b;hb=e5d34919b4561a5ee11e41dec6b0184537b39696;hp=5b73d5fa21ae67f0ceaeb1a6b6e9a34959a9b0b4;hpb=fe269f3c6f350444a17e4829311ac64dd0175641;p=vpp.git diff --git a/src/vlib/counter.c b/src/vlib/counter.c index 5b73d5fa21a..8573b794fd9 100644 --- a/src/vlib/counter.c +++ b/src/vlib/counter.c @@ -38,6 +38,7 @@ */ #include +#include void vlib_clear_simple_counters (vlib_simple_counter_main_t * cm) @@ -74,19 +75,6 @@ vlib_clear_combined_counters (vlib_combined_counter_main_t * cm) } } -void *vlib_stats_push_heap (void *) __attribute__ ((weak)); -void * -vlib_stats_push_heap (void *unused) -{ - return 0; -}; - -void vlib_stats_pop_heap (void *, void *, u32, int) __attribute__ ((weak)); -void -vlib_stats_pop_heap (void *notused, void *notused2, u32 i, int type) -{ -}; - void vlib_validate_simple_counter (vlib_simple_counter_main_t * cm, u32 index) { @@ -131,30 +119,6 @@ vlib_simple_counter_n_counters (const vlib_simple_counter_main_t * cm) return (vec_len (cm->counters[0])); } -void -serialize_vlib_simple_counter_main (serialize_main_t * m, va_list * va) -{ - clib_warning ("unimplemented"); -} - -void -unserialize_vlib_simple_counter_main (serialize_main_t * m, va_list * va) -{ - clib_warning ("unimplemented"); -} - -void -serialize_vlib_combined_counter_main (serialize_main_t * m, va_list * va) -{ - clib_warning ("unimplemented"); -} - -void -unserialize_vlib_combined_counter_main (serialize_main_t * m, va_list * va) -{ - clib_warning ("unimplemented"); -} - /* * fd.io coding-style-patch-verification: ON *