X-Git-Url: https://gerrit.fd.io/r/gitweb?a=blobdiff_plain;f=src%2Fvlib%2Fcounter.h;h=fe5279a5e289b808b85b997113aac6de1e0b07bb;hb=048a4e5a000017d0d632ebf02dcc23d9bf9ccf72;hp=60e2055d232a30d1b7513d3d38d8fe4943ce2a97;hpb=59ae61ee7587502c0446655ecbe3daa296498f56;p=vpp.git diff --git a/src/vlib/counter.h b/src/vlib/counter.h index 60e2055d232..fe5279a5e28 100644 --- a/src/vlib/counter.h +++ b/src/vlib/counter.h @@ -63,6 +63,7 @@ typedef struct serialized incrementally. */ char *name; /**< The counter collection's name. */ + char *stat_segment_name; /**< Name in stat segment directory */ } vlib_simple_counter_main_t; /** The number of counters (not the number of per-thread counters) */ @@ -183,6 +184,7 @@ typedef struct vlib_counter_t *value_at_last_serialize; /**< Counter values as of last serialize. */ u32 last_incremental_serialize_index; /**< Last counter index serialized incrementally. */ char *name; /**< The counter collection's name. */ + char *stat_segment_name; /**< Name in stat segment directory */ } vlib_combined_counter_main_t; /** The number of counters (not the number of per-thread counters) */