X-Git-Url: https://gerrit.fd.io/r/gitweb?a=blobdiff_plain;f=src%2Fvnet%2Ffib%2Ffib_entry.c;h=74c6a4a587b755d00f26ee0a53ead1f3a0b5d80b;hb=refs%2Fchanges%2F50%2F8950%2F14;hp=4c9b1abd881d024164f832ee2eb8eaaafb5f98db;hpb=a2ff7b8cfc829ffbb6d5de7534efb51f7cba9cf3;p=vpp.git diff --git a/src/vnet/fib/fib_entry.c b/src/vnet/fib/fib_entry.c index 4c9b1abd881..74c6a4a587b 100644 --- a/src/vnet/fib/fib_entry.c +++ b/src/vnet/fib/fib_entry.c @@ -394,6 +394,7 @@ fib_entry_chain_type_mcast_to_ucast (fib_forward_chain_type_t fct) case FIB_FORW_CHAIN_TYPE_MPLS_NON_EOS: case FIB_FORW_CHAIN_TYPE_ETHERNET: case FIB_FORW_CHAIN_TYPE_NSH: + case FIB_FORW_CHAIN_TYPE_BIER: break; }