X-Git-Url: https://gerrit.fd.io/r/gitweb?a=blobdiff_plain;f=src%2Fvnet%2Ffib%2Ffib_path.c;h=b4f9971f52e00391a572a96ac233b2322febe6ab;hb=6ee4051139409eb53cd41b2b73dac838e8c4e8a0;hp=ffb7ad228f17cf1df19401a3eeef4a43126d2b5e;hpb=62bab658e7ca782c8d35dacacfa5906ddbcaf437;p=vpp.git diff --git a/src/vnet/fib/fib_path.c b/src/vnet/fib/fib_path.c index ffb7ad228f1..b4f9971f52e 100644 --- a/src/vnet/fib/fib_path.c +++ b/src/vnet/fib/fib_path.c @@ -707,6 +707,7 @@ fib_path_attached_get_adj (fib_path_t *path, else { return (adj_glean_add_or_lock(dpo_proto_to_fib(path->fp_nh_proto), + link, path->attached.fp_interface, NULL)); }