X-Git-Url: https://gerrit.fd.io/r/gitweb?a=blobdiff_plain;f=src%2Fvnet%2Fmap%2Fmap.c;h=e3886d7e7e63675339df5ce3551236b184b8c94a;hb=630b9741659b9a4b68c64ebbeb675761c6f26842;hp=6d18a06945986379c959209e3eb9347a6a20b547;hpb=020df9a7a55ebf9e06db3f24982efe5fdd68ebb9;p=vpp.git diff --git a/src/vnet/map/map.c b/src/vnet/map/map.c index 6d18a069459..e3886d7e7e6 100644 --- a/src/vnet/map/map.c +++ b/src/vnet/map/map.c @@ -356,9 +356,7 @@ map_last_lock_gone (fib_node_t * node) static map_main_pre_resolved_t * map_from_fib_node (fib_node_t * node) { -#if (CLIB_DEBUG > 0) ASSERT (FIB_NODE_TYPE_MAP_E == node->fn_type); -#endif return ((map_main_pre_resolved_t *) (((char *) node) - STRUCT_OFFSET_OF (map_main_pre_resolved_t, node)));