X-Git-Url: https://gerrit.fd.io/r/gitweb?a=blobdiff_plain;f=src%2Fvnet%2Fsession%2Fsession_node.c;h=9a954bb127bec5992b9088cf962040273ef7b622;hb=ef91534e665cf343af2389df11d46559a1f83d78;hp=e2a6f4c67c6099c7bb793f774a8b56a5929c97df;hpb=5f5d50ee9b342964caeeee10612cd002497fb40c;p=vpp.git diff --git a/src/vnet/session/session_node.c b/src/vnet/session/session_node.c index e2a6f4c67c6..9a954bb127b 100644 --- a/src/vnet/session/session_node.c +++ b/src/vnet/session/session_node.c @@ -730,6 +730,8 @@ session_tx_fifo_dequeue_internal (vlib_main_t * vm, stream_session_t * s, int *n_tx_pkts) { application_t *app; + if (PREDICT_FALSE (s->session_state == SESSION_STATE_CLOSED)) + return 0; app = application_get (s->t_app_index); svm_fifo_unset_event (s->server_tx_fifo); return app->cb_fns.builtin_app_tx_callback (s); @@ -878,13 +880,18 @@ skip_dequeue: break; case FIFO_EVENT_BUILTIN_RX: s = session_event_get_session (e, thread_index); - if (PREDICT_FALSE (!s)) + if (PREDICT_FALSE (!s || s->session_state >= SESSION_STATE_CLOSING)) continue; svm_fifo_unset_event (s->server_rx_fifo); app_wrk = app_worker_get (s->app_wrk_index); app = application_get (app_wrk->app_index); app->cb_fns.builtin_app_rx_callback (s); break; + case FIFO_EVENT_BUILTIN_TX: + s = session_get_from_handle_if_valid (e->session_handle); + if (PREDICT_TRUE (s != 0)) + session_tx_fifo_dequeue_internal (vm, node, e, s, &n_tx_packets); + break; case FIFO_EVENT_RPC: fp = e->rpc_args.fp; (*fp) (e->rpc_args.arg);