X-Git-Url: https://gerrit.fd.io/r/gitweb?a=blobdiff_plain;f=src%2Fvnet%2Ftcp%2Ftcp_output.c;h=2b5a5cd73feae40d9a392b29bfb569c896332824;hb=81a13db67f6e3cca2a974e4c32d8e9db940645dc;hp=bbcbc9121753fec498a5c028d0fd7b1c0f89b0cc;hpb=0bee9ce4af04322c56f7511f24bda4874e78a4b4;p=vpp.git diff --git a/src/vnet/tcp/tcp_output.c b/src/vnet/tcp/tcp_output.c index bbcbc912175..2b5a5cd73fe 100644 --- a/src/vnet/tcp/tcp_output.c +++ b/src/vnet/tcp/tcp_output.c @@ -1771,6 +1771,14 @@ tcp46_output_inline (vlib_main_t * vm, tcp_header_t *th0 = 0; u32 error0 = TCP_ERROR_PKTS_SENT, next0 = TCP_OUTPUT_NEXT_IP_LOOKUP; + if (n_left_from > 1) + { + vlib_buffer_t *pb; + pb = vlib_get_buffer (vm, from[1]); + vlib_prefetch_buffer_header (pb, STORE); + CLIB_PREFETCH (pb->data, 2 * CLIB_CACHE_LINE_BYTES, STORE); + } + bi0 = from[0]; to_next[0] = bi0; from += 1;