X-Git-Url: https://gerrit.fd.io/r/gitweb?a=blobdiff_plain;f=src%2Fvnet%2Fudp%2Fudp.h;h=3dc116ee4d7ae70b83dc03c84aede8ffbf7c42b8;hb=25801d6d2abee52dcafc8583b77d33d99a4bf313;hp=bd163e273d216121d71c4d56a7cb7328e8b22311;hpb=615fc61d0937cda001c0ce1682e036e2bb112a74;p=vpp.git diff --git a/src/vnet/udp/udp.h b/src/vnet/udp/udp.h index bd163e273d2..3dc116ee4d7 100644 --- a/src/vnet/udp/udp.h +++ b/src/vnet/udp/udp.h @@ -1,5 +1,5 @@ /* - * Copyright (c) 2017 Cisco and/or its affiliates. + * Copyright (c) 2017-2020 Cisco and/or its affiliates. * Licensed under the Apache License, Version 2.0 (the "License"); * you may not use this file except in compliance with the License. * You may obtain a copy of the License at: @@ -16,112 +16,87 @@ #define __included_udp_h__ #include +#include +#include #include -#include -#include #include -#include #include #include #include -typedef struct -{ - transport_connection_t connection; /** must be first */ - - /** ersatz MTU to limit fifo pushes to test data size */ - u32 mtu; -} udp_connection_t; +#define UDP_NO_NODE_SET ((u16) ~0) -typedef struct _udp_uri_main +typedef enum { - /* Per-worker thread udp connection pools */ - udp_connection_t **udp_sessions; - udp_connection_t *udp_listeners; - - /* convenience */ - vlib_main_t *vlib_main; - vnet_main_t *vnet_main; - ip4_main_t *ip4_main; - ip6_main_t *ip6_main; -} udp_uri_main_t; +#define udp_error(f, n, s, d) UDP_ERROR_##f, +#include +#undef udp_error + UDP_N_ERROR, +} udp_error_t; -extern udp_uri_main_t udp_uri_main; -extern vlib_node_registration_t udp4_uri_input_node; +#define foreach_udp_connection_flag \ + _(CONNECTED, "CONNECTED") /**< connected mode */ \ + _(OWNS_PORT, "OWNS_PORT") /**< port belong to conn (UDPC) */ \ + _(CLOSING, "CLOSING") /**< conn closed with data */ \ + _(LISTEN, "LISTEN") /**< conn is listening */ \ + _(MIGRATED, "MIGRATED") /**< cloned to another thread */ \ -always_inline udp_uri_main_t * -vnet_get_udp_main () +enum udp_conn_flags_bits { - return &udp_uri_main; -} +#define _(sym, str) UDP_CONN_F_BIT_##sym, + foreach_udp_connection_flag +#undef _ + UDP_CONN_N_FLAGS +}; -always_inline udp_connection_t * -udp_connection_get (u32 conn_index, u32 thread_index) +typedef enum udp_conn_flags_ { - return pool_elt_at_index (udp_uri_main.udp_sessions[thread_index], - conn_index); -} +#define _(sym, str) UDP_CONN_F_##sym = 1 << UDP_CONN_F_BIT_##sym, + foreach_udp_connection_flag +#undef _ +} udp_conn_flags_t; -always_inline udp_connection_t * -udp_listener_get (u32 conn_index) -{ - return pool_elt_at_index (udp_uri_main.udp_listeners, conn_index); -} +#define foreach_udp_cfg_flag _ (NO_CSUM_OFFLOAD, "no-csum-offload") -typedef enum +typedef enum udp_cfg_flag_bits_ { -#define udp_error(n,s) UDP_ERROR_##n, -#include -#undef udp_error - UDP_N_ERROR, -} udp_error_t; - -#define foreach_udp4_dst_port \ -_ (67, dhcp_to_server) \ -_ (68, dhcp_to_client) \ -_ (500, ikev2) \ -_ (3784, bfd4) \ -_ (3785, bfd_echo4) \ -_ (4341, lisp_gpe) \ -_ (4342, lisp_cp) \ -_ (4739, ipfix) \ -_ (4789, vxlan) \ -_ (4789, vxlan6) \ -_ (4790, vxlan_gpe) \ -_ (6633, vpath_3) - - -#define foreach_udp6_dst_port \ -_ (547, dhcpv6_to_server) \ -_ (546, dhcpv6_to_client) \ -_ (3784, bfd6) \ -_ (3785, bfd_echo6) \ -_ (4341, lisp_gpe6) \ -_ (4342, lisp_cp6) \ -_ (4790, vxlan6_gpe) \ -_ (6633, vpath6_3) +#define _(sym, str) UDP_CFG_F_##sym##_BIT, + foreach_udp_cfg_flag +#undef _ + UDP_CFG_N_FLAG_BITS +} udp_cfg_flag_bits_e; -typedef enum +typedef enum udp_cfg_flag_ { -#define _(n,f) UDP_DST_PORT_##f = n, - foreach_udp4_dst_port foreach_udp6_dst_port +#define _(sym, str) UDP_CFG_F_##sym = 1 << UDP_CFG_F_##sym##_BIT, + foreach_udp_cfg_flag #undef _ -} udp_dst_port_t; + UDP_CFG_N_FLAGS +} __clib_packed udp_cfg_flags_t; -typedef enum +typedef struct { -#define _(n,f) UDP6_DST_PORT_##f = n, - foreach_udp6_dst_port -#undef _ -} udp6_dst_port_t; + /** Required for pool_get_aligned */ + CLIB_CACHE_LINE_ALIGN_MARK (cacheline0); + transport_connection_t connection; /**< must be first */ + clib_spinlock_t rx_lock; /**< rx fifo lock */ + u8 flags; /**< connection flags */ + udp_cfg_flags_t cfg_flags; /**< configuration flags */ + u16 mss; /**< connection mss */ + u32 sw_if_index; /**< connection sw_if_index */ + u32 next_node_index; /**< Can be used to control next node in output */ + u32 next_node_opaque; /**< Opaque to pass to next node */ +} udp_connection_t; + +#define udp_csum_offload(uc) (!((uc)->cfg_flags & UDP_CFG_F_NO_CSUM_OFFLOAD)) typedef struct { /* Name (a c string). */ char *name; - /* GRE protocol type in host byte order. */ + /* Port number in host byte order. */ udp_dst_port_t dst_port; /* Node which handles this type. */ @@ -129,6 +104,9 @@ typedef struct /* Next index for this type. */ u32 next_index; + + /* Parser for packet generator edits for this protocol */ + unformat_function_t *unformat_pg_edit; } udp_dst_port_info_t; typedef enum @@ -138,6 +116,12 @@ typedef enum N_UDP_AF, } udp_af_t; +typedef struct udp_worker_ +{ + udp_connection_t *connections; + u32 *pending_cleanups; +} udp_worker_t; + typedef struct { udp_dst_port_info_t *dst_port_infos[N_UDP_AF]; @@ -153,211 +137,110 @@ typedef struct u8 punt_unknown4; u8 punt_unknown6; - /* convenience */ - vlib_main_t *vlib_main; -} udp_main_t; + /* Udp local to input arc index */ + u32 local_to_input_edge[N_UDP_AF]; -always_inline udp_dst_port_info_t * -udp_get_dst_port_info (udp_main_t * um, udp_dst_port_t dst_port, u8 is_ip4) -{ - uword *p = hash_get (um->dst_port_info_by_dst_port[is_ip4], dst_port); - return p ? vec_elt_at_index (um->dst_port_infos[is_ip4], p[0]) : 0; -} + /* + * UDP transport layer per-thread context + */ -format_function_t format_udp_header; -format_function_t format_udp_rx_trace; + udp_worker_t *wrk; + udp_connection_t *listener_pool; -unformat_function_t unformat_udp_header; + /* Refcounts for ports consumed by udp transports to handle + * both passive and active opens using the same port */ + u16 *transport_ports_refcnt[N_UDP_AF]; -void udp_register_dst_port (vlib_main_t * vm, - udp_dst_port_t dst_port, - u32 node_index, u8 is_ip4); + u16 default_mtu; + u16 msg_id_base; + u8 csum_offload; -void -udp_unregister_dst_port (vlib_main_t * vm, - udp_dst_port_t dst_port, u8 is_ip4); + u8 icmp_send_unreachable_disabled; +} udp_main_t; -void udp_punt_unknown (vlib_main_t * vm, u8 is_ip4, u8 is_add); +extern udp_main_t udp_main; +extern vlib_node_registration_t udp4_input_node; +extern vlib_node_registration_t udp6_input_node; +extern vlib_node_registration_t udp4_local_node; +extern vlib_node_registration_t udp6_local_node; +extern vlib_node_registration_t udp4_output_node; +extern vlib_node_registration_t udp6_output_node; + +void udp_add_dst_port (udp_main_t * um, udp_dst_port_t dst_port, + char *dst_port_name, u8 is_ip4); -always_inline void -ip_udp_fixup_one (vlib_main_t * vm, vlib_buffer_t * b0, u8 is_ip4) +always_inline udp_worker_t * +udp_worker_get (u32 thread_index) { - u16 new_l0; - udp_header_t *udp0; - - if (is_ip4) - { - ip4_header_t *ip0; - ip_csum_t sum0; - u16 old_l0 = 0; - - ip0 = vlib_buffer_get_current (b0); - - /* fix the ing outer-IP checksum */ - sum0 = ip0->checksum; - /* old_l0 always 0, see the rewrite setup */ - new_l0 = clib_host_to_net_u16 (vlib_buffer_length_in_chain (vm, b0)); - - sum0 = ip_csum_update (sum0, old_l0, new_l0, ip4_header_t, - length /* changed member */ ); - ip0->checksum = ip_csum_fold (sum0); - ip0->length = new_l0; - - /* Fix UDP length */ - udp0 = (udp_header_t *) (ip0 + 1); - new_l0 = clib_host_to_net_u16 (vlib_buffer_length_in_chain (vm, b0) - - sizeof (*ip0)); - udp0->length = new_l0; - } - else - { - ip6_header_t *ip0; - int bogus0; - - ip0 = vlib_buffer_get_current (b0); - - new_l0 = clib_host_to_net_u16 (vlib_buffer_length_in_chain (vm, b0) - - sizeof (*ip0)); - ip0->payload_length = new_l0; - - /* Fix UDP length */ - udp0 = (udp_header_t *) (ip0 + 1); - udp0->length = new_l0; - - udp0->checksum = - ip6_tcp_udp_icmp_compute_checksum (vm, b0, ip0, &bogus0); - ASSERT (bogus0 == 0); - - if (udp0->checksum == 0) - udp0->checksum = 0xffff; - } + return vec_elt_at_index (udp_main.wrk, thread_index); } -always_inline void -ip_udp_encap_one (vlib_main_t * vm, vlib_buffer_t * b0, u8 * ec0, word ec_len, - u8 is_ip4) +always_inline udp_connection_t * +udp_connection_get (u32 conn_index, u32 thread_index) { - vlib_buffer_advance (b0, -ec_len); + udp_worker_t *wrk = udp_worker_get (thread_index); - if (is_ip4) - { - ip4_header_t *ip0; + if (pool_is_free_index (wrk->connections, conn_index)) + return 0; + return pool_elt_at_index (wrk->connections, conn_index); +} + +always_inline udp_connection_t * +udp_listener_get (u32 conn_index) +{ + return pool_elt_at_index (udp_main.listener_pool, conn_index); +} - ip0 = vlib_buffer_get_current (b0); +always_inline udp_main_t * +vnet_get_udp_main () +{ + return &udp_main; +} - /* Apply the encap string. */ - clib_memcpy (ip0, ec0, ec_len); - ip_udp_fixup_one (vm, b0, 1); - } - else - { - ip6_header_t *ip0; +always_inline udp_connection_t * +udp_connection_from_transport (transport_connection_t * tc) +{ + return ((udp_connection_t *) tc); +} - ip0 = vlib_buffer_get_current (b0); +void udp_connection_free (udp_connection_t * uc); +udp_connection_t *udp_connection_alloc (u32 thread_index); - /* Apply the encap string. */ - clib_memcpy (ip0, ec0, ec_len); - ip_udp_fixup_one (vm, b0, 0); - } +always_inline udp_connection_t * +udp_connection_clone_safe (u32 connection_index, u32 thread_index) +{ + u32 current_thread_index = vlib_get_thread_index (), new_index; + udp_connection_t *old_c, *new_c; + + new_c = udp_connection_alloc (current_thread_index); + new_index = new_c->c_c_index; + /* Connection pool always realloced with barrier */ + old_c = udp_main.wrk[thread_index].connections + connection_index; + clib_memcpy_fast (new_c, old_c, sizeof (*new_c)); + old_c->flags |= UDP_CONN_F_MIGRATED; + new_c->c_thread_index = current_thread_index; + new_c->c_c_index = new_index; + new_c->c_fib_index = old_c->c_fib_index; + /* Assume cloned sessions don't need lock */ + new_c->rx_lock = 0; + return new_c; } -always_inline void -ip_udp_encap_two (vlib_main_t * vm, vlib_buffer_t * b0, vlib_buffer_t * b1, - u8 * ec0, u8 * ec1, word ec_len, u8 is_v4) +always_inline udp_dst_port_info_t * +udp_get_dst_port_info (udp_main_t * um, udp_dst_port_t dst_port, u8 is_ip4) { - u16 new_l0, new_l1; - udp_header_t *udp0, *udp1; - - ASSERT (_vec_len (ec0) == _vec_len (ec1)); - - vlib_buffer_advance (b0, -ec_len); - vlib_buffer_advance (b1, -ec_len); - - if (is_v4) - { - ip4_header_t *ip0, *ip1; - ip_csum_t sum0, sum1; - u16 old_l0 = 0, old_l1 = 0; - - ip0 = vlib_buffer_get_current (b0); - ip1 = vlib_buffer_get_current (b1); - - /* Apply the encap string */ - clib_memcpy (ip0, ec0, ec_len); - clib_memcpy (ip1, ec1, ec_len); - - /* fix the ing outer-IP checksum */ - sum0 = ip0->checksum; - sum1 = ip1->checksum; - - /* old_l0 always 0, see the rewrite setup */ - new_l0 = clib_host_to_net_u16 (vlib_buffer_length_in_chain (vm, b0)); - new_l1 = clib_host_to_net_u16 (vlib_buffer_length_in_chain (vm, b1)); - - sum0 = ip_csum_update (sum0, old_l0, new_l0, ip4_header_t, - length /* changed member */ ); - sum1 = ip_csum_update (sum1, old_l1, new_l1, ip4_header_t, - length /* changed member */ ); - - ip0->checksum = ip_csum_fold (sum0); - ip1->checksum = ip_csum_fold (sum1); - - ip0->length = new_l0; - ip1->length = new_l1; - - /* Fix UDP length */ - udp0 = (udp_header_t *) (ip0 + 1); - udp1 = (udp_header_t *) (ip1 + 1); - - new_l0 = - clib_host_to_net_u16 (vlib_buffer_length_in_chain (vm, b0) - - sizeof (*ip0)); - new_l1 = - clib_host_to_net_u16 (vlib_buffer_length_in_chain (vm, b1) - - sizeof (*ip1)); - udp0->length = new_l0; - udp1->length = new_l1; - } - else - { - ip6_header_t *ip0, *ip1; - int bogus0, bogus1; - - ip0 = vlib_buffer_get_current (b0); - ip1 = vlib_buffer_get_current (b1); - - /* Apply the encap string. */ - clib_memcpy (ip0, ec0, ec_len); - clib_memcpy (ip1, ec1, ec_len); - - new_l0 = clib_host_to_net_u16 (vlib_buffer_length_in_chain (vm, b0) - - sizeof (*ip0)); - new_l1 = clib_host_to_net_u16 (vlib_buffer_length_in_chain (vm, b1) - - sizeof (*ip1)); - ip0->payload_length = new_l0; - ip1->payload_length = new_l1; - - /* Fix UDP length */ - udp0 = (udp_header_t *) (ip0 + 1); - udp1 = (udp_header_t *) (ip1 + 1); - - udp0->length = new_l0; - udp1->length = new_l1; - - udp0->checksum = - ip6_tcp_udp_icmp_compute_checksum (vm, b0, ip0, &bogus0); - udp1->checksum = - ip6_tcp_udp_icmp_compute_checksum (vm, b1, ip1, &bogus1); - ASSERT (bogus0 == 0); - ASSERT (bogus1 == 0); - - if (udp0->checksum == 0) - udp0->checksum = 0xffff; - if (udp1->checksum == 0) - udp1->checksum = 0xffff; - } + uword *p = hash_get (um->dst_port_info_by_dst_port[is_ip4], dst_port); + return p ? vec_elt_at_index (um->dst_port_infos[is_ip4], p[0]) : 0; } +format_function_t format_udp_header; +format_function_t format_udp_rx_trace; +format_function_t format_udp_connection; +unformat_function_t unformat_udp_header; +unformat_function_t unformat_udp_port; + +void udp_punt_unknown (vlib_main_t * vm, u8 is_ip4, u8 is_add); + /* * fd.io coding-style-patch-verification: ON *