Remove unused, uninteresting code 32/9732/2
authorDave Barach <dave@barachs.net>
Tue, 5 Dec 2017 17:21:59 +0000 (12:21 -0500)
committerDamjan Marion <dmarion.lists@gmail.com>
Wed, 6 Dec 2017 10:43:45 +0000 (10:43 +0000)
commit22229864cb6e30c9b75a9c36e4ffa8500c0cdc5f
tree6a0f00aebc127b73d104fb769857792e94e4734d
parent55c79e9c7e14b501baa72bc8b415e0a66752ed01
Remove unused, uninteresting code

Move elog_sample.c to src/examples/vlib

Change-Id: I7d32c83c424b9ca4a057372c7fc6a6e2b7dab034
Signed-off-by: Dave Barach <dave@barachs.net>
src/examples/vlib/elog_samples.c [moved from src/vlib/elog_samples.c with 100% similarity]
src/examples/vlib/plex_test.c [deleted file]
src/vlib/parse.c [deleted file]
src/vlib/parse_builtin.c [deleted file]
src/vnet/ethernet/mac_swap.c [deleted file]
src/vnet/ip/ip4_test.c [deleted file]
src/vpp/app/sticky_hash.c [deleted file]
src/vppinfra/mod_test_hash.c [deleted file]
src/vppinfra/pfhash.c [deleted file]
src/vppinfra/test_pfhash.c [deleted file]
src/vppinfra/test_pool.c [deleted file]