From eddd8e3588561039985b27edf059db6033bfdfab Mon Sep 17 00:00:00 2001 From: Dave Wallace Date: Wed, 12 May 2021 21:43:59 -0400 Subject: [PATCH] tests: move test source to vpp/test - Generate copyright year and version instead of using hard-coded data Type: refactor Signed-off-by: Dave Wallace Change-Id: I6058f5025323b3aa483f5df4a2c4371e27b5914e --- .gitignore | 5 ++- src/plugins/srv6-am/test/vpp_srv6.py | 1 - src/plugins/srv6-as/test/vpp_srv6.py | 1 - test/Makefile | 41 +++++++--------------- {src/vnet/bfd/test => test}/bfd.py | 0 test/doc/Makefile | 5 +-- test/doc/conf.py | 13 ++++--- {src/plugins/abf/test => test}/test_abf.py | 0 {src/plugins/acl/test => test}/test_acl_plugin.py | 0 .../acl/test => test}/test_acl_plugin_conns.py | 0 .../acl/test => test}/test_acl_plugin_l2l3.py | 0 .../acl/test => test}/test_acl_plugin_macip.py | 0 {src/plugins/adl/test => test}/test_adl.py | 0 {src/plugins/arping/test => test}/test_arping.py | 0 {src/vnet/bfd/test => test}/test_bfd.py | 0 {src/vnet/bier/test => test}/test_bier.py | 0 {src/vppinfra/test => test}/test_bihash.py | 0 {src/vnet/bonding/test => test}/test_bond.py | 0 {src/vlib/test => test}/test_buffers.py | 0 {src/plugins/cdp/test => test}/test_cdp.py | 0 .../vnet/classify/test => test}/test_classifier.py | 0 .../classify/test => test}/test_classifier_ip6.py | 0 .../acl/test => test}/test_classify_l2_acl.py | 0 {src/vlib/test => test}/test_cli.py | 0 {src/plugins/cnat/test => test}/test_cnat.py | 0 {src/vlib/test => test}/test_counters.py | 0 {src/vnet/crypto/test => test}/test_crypto.py | 0 {src/plugins/nat/test => test}/test_det44.py | 0 {src/plugins/dhcp/test => test}/test_dhcp.py | 0 {src/plugins/dhcp/test => test}/test_dhcp6.py | 0 {src/plugins/dns/test => test}/test_dns.py | 0 {src/plugins/nat/test => test}/test_dslite.py | 0 {src/vnet/fib/test => test}/test_dvr.py | 0 {src/vpp-api/test => test}/test_endian.py | 0 {src/vnet/fib/test => test}/test_fib.py | 0 .../flowprobe/test => test}/test_flowprobe.py | 0 {src/plugins/gbp/test => test}/test_gbp.py | 0 {src/plugins/geneve/test => test}/test_geneve.py | 0 {src/vnet/gre/test => test}/test_gre.py | 0 {src/vnet/gso/test => test}/test_gro.py | 0 {src/vnet/gso/test => test}/test_gso.py | 0 {src/plugins/gtpu/test => test}/test_gtpu.py | 0 {src/plugins/igmp/test => test}/test_igmp.py | 0 {src/plugins/ikev2/test => test}/test_ikev2.py | 0 {src/plugins/nat/test => test}/test_ipsec_nat.py | 0 {src/plugins/l2tp/test => test}/test_l2tp.py | 0 {src/plugins/l3xc/test => test}/test_l3xc.py | 0 {src/plugins/lacp/test => test}/test_lacp.py | 0 {src/plugins/lb/test => test}/test_lb.py | 0 {src/plugins/lb/test => test}/test_lb_api.py | 0 .../linux-cp/test => test}/test_linux_cp.py | 0 {src/plugins/lisp/test => test}/test_lisp.py | 0 {src/plugins/mactime/test => test}/test_mactime.py | 0 {src/plugins/map/test => test}/test_map.py | 0 {src/plugins/map/test => test}/test_map_br.py | 0 {src/plugins/memif/test => test}/test_memif.py | 0 .../mss_clamp/test => test}/test_mss_clamp.py | 0 {src/plugins/nat/test => test}/test_nat44_ed.py | 0 {src/plugins/nat/test => test}/test_nat44_ei.py | 0 {src/plugins/nat/test => test}/test_nat64.py | 0 {src/plugins/nat/test => test}/test_nat66.py | 0 {src/plugins/ping/test => test}/test_ping.py | 0 {src/plugins/nat/test => test}/test_pnat.py | 0 {src/vnet/policer/test => test}/test_policer.py | 0 .../policer/test => test}/test_policer_input.py | 0 {src/plugins/pppoe/test => test}/test_pppoe.py | 0 {src/plugins/quic/test => test}/test_quic.py | 0 {src/plugins/srv6-am/test => test}/test_srv6.py | 0 {src/plugins/srv6-ad/test => test}/test_srv6_ad.py | 0 .../test => test}/test_srv6_ad_flow.py | 0 {src/plugins/srv6-as/test => test}/test_srv6_as.py | 0 .../srv6-mobile/test => test}/test_srv6_mobile.py | 0 {src/plugins/svs/test => test}/test_svs.py | 0 {src/plugins/urpf/test => test}/test_urpf.py | 0 {src/vpp-api/test => test}/test_vapi.py | 0 {src/vpp-api/test => test}/test_vpe_api.py | 0 {src/vppinfra/test => test}/test_vppinfra.py | 0 {src/plugins/vrrp/test => test}/test_vrrp.py | 0 {src/vnet/vxlan/test => test}/test_vxlan.py | 0 {src/vnet/vxlan/test => test}/test_vxlan6.py | 0 {src/vnet/vxlan/test => test}/test_vxlan_gbp.py | 0 {src/vnet/vxlan/test => test}/test_vxlan_gpe.py | 0 .../wireguard/test => test}/test_wireguard.py | 0 {src/plugins/acl/test => test}/vpp_acl.py | 0 {src/vnet/bier/test => test}/vpp_bier.py | 0 .../bonding/test => test}/vpp_bond_interface.py | 0 {src/plugins/dhcp/test => test}/vpp_dhcp.py | 0 {src/plugins/igmp/test => test}/vpp_igmp.py | 0 {src/plugins/ikev2/test => test}/vpp_ikev2.py | 0 {src/plugins/lb/test => test}/vpp_lb.py | 0 {src/plugins/memif/test => test}/vpp_memif.py | 0 .../pppoe/test => test}/vpp_pppoe_interface.py | 0 {src/plugins/srv6-ad/test => test}/vpp_srv6.py | 0 .../vxlan/test => test}/vpp_vxlan_gbp_tunnel.py | 0 {src/vnet/vxlan/test => test}/vpp_vxlan_tunnel.py | 0 95 files changed, 25 insertions(+), 41 deletions(-) delete mode 120000 src/plugins/srv6-am/test/vpp_srv6.py delete mode 120000 src/plugins/srv6-as/test/vpp_srv6.py rename {src/vnet/bfd/test => test}/bfd.py (100%) rename {src/plugins/abf/test => test}/test_abf.py (100%) rename {src/plugins/acl/test => test}/test_acl_plugin.py (100%) rename {src/plugins/acl/test => test}/test_acl_plugin_conns.py (100%) rename {src/plugins/acl/test => test}/test_acl_plugin_l2l3.py (100%) rename {src/plugins/acl/test => test}/test_acl_plugin_macip.py (100%) rename {src/plugins/adl/test => test}/test_adl.py (100%) rename {src/plugins/arping/test => test}/test_arping.py (100%) rename {src/vnet/bfd/test => test}/test_bfd.py (100%) rename {src/vnet/bier/test => test}/test_bier.py (100%) rename {src/vppinfra/test => test}/test_bihash.py (100%) rename {src/vnet/bonding/test => test}/test_bond.py (100%) rename {src/vlib/test => test}/test_buffers.py (100%) rename {src/plugins/cdp/test => test}/test_cdp.py (100%) rename {src/vnet/classify/test => test}/test_classifier.py (100%) rename {src/vnet/classify/test => test}/test_classifier_ip6.py (100%) rename {src/plugins/acl/test => test}/test_classify_l2_acl.py (100%) rename {src/vlib/test => test}/test_cli.py (100%) rename {src/plugins/cnat/test => test}/test_cnat.py (100%) rename {src/vlib/test => test}/test_counters.py (100%) rename {src/vnet/crypto/test => test}/test_crypto.py (100%) rename {src/plugins/nat/test => test}/test_det44.py (100%) rename {src/plugins/dhcp/test => test}/test_dhcp.py (100%) rename {src/plugins/dhcp/test => test}/test_dhcp6.py (100%) rename {src/plugins/dns/test => test}/test_dns.py (100%) rename {src/plugins/nat/test => test}/test_dslite.py (100%) rename {src/vnet/fib/test => test}/test_dvr.py (100%) rename {src/vpp-api/test => test}/test_endian.py (100%) rename {src/vnet/fib/test => test}/test_fib.py (100%) rename {src/plugins/flowprobe/test => test}/test_flowprobe.py (100%) rename {src/plugins/gbp/test => test}/test_gbp.py (100%) rename {src/plugins/geneve/test => test}/test_geneve.py (100%) rename {src/vnet/gre/test => test}/test_gre.py (100%) rename {src/vnet/gso/test => test}/test_gro.py (100%) rename {src/vnet/gso/test => test}/test_gso.py (100%) rename {src/plugins/gtpu/test => test}/test_gtpu.py (100%) rename {src/plugins/igmp/test => test}/test_igmp.py (100%) rename {src/plugins/ikev2/test => test}/test_ikev2.py (100%) rename {src/plugins/nat/test => test}/test_ipsec_nat.py (100%) rename {src/plugins/l2tp/test => test}/test_l2tp.py (100%) rename {src/plugins/l3xc/test => test}/test_l3xc.py (100%) rename {src/plugins/lacp/test => test}/test_lacp.py (100%) rename {src/plugins/lb/test => test}/test_lb.py (100%) rename {src/plugins/lb/test => test}/test_lb_api.py (100%) rename {src/plugins/linux-cp/test => test}/test_linux_cp.py (100%) rename {src/plugins/lisp/test => test}/test_lisp.py (100%) rename {src/plugins/mactime/test => test}/test_mactime.py (100%) rename {src/plugins/map/test => test}/test_map.py (100%) rename {src/plugins/map/test => test}/test_map_br.py (100%) rename {src/plugins/memif/test => test}/test_memif.py (100%) rename {src/plugins/mss_clamp/test => test}/test_mss_clamp.py (100%) rename {src/plugins/nat/test => test}/test_nat44_ed.py (100%) rename {src/plugins/nat/test => test}/test_nat44_ei.py (100%) rename {src/plugins/nat/test => test}/test_nat64.py (100%) rename {src/plugins/nat/test => test}/test_nat66.py (100%) rename {src/plugins/ping/test => test}/test_ping.py (100%) rename {src/plugins/nat/test => test}/test_pnat.py (100%) rename {src/vnet/policer/test => test}/test_policer.py (100%) rename {src/vnet/policer/test => test}/test_policer_input.py (100%) rename {src/plugins/pppoe/test => test}/test_pppoe.py (100%) rename {src/plugins/quic/test => test}/test_quic.py (100%) rename {src/plugins/srv6-am/test => test}/test_srv6.py (100%) rename {src/plugins/srv6-ad/test => test}/test_srv6_ad.py (100%) rename {src/plugins/srv6-ad-flow/test => test}/test_srv6_ad_flow.py (100%) rename {src/plugins/srv6-as/test => test}/test_srv6_as.py (100%) rename {src/plugins/srv6-mobile/test => test}/test_srv6_mobile.py (100%) rename {src/plugins/svs/test => test}/test_svs.py (100%) rename {src/plugins/urpf/test => test}/test_urpf.py (100%) rename {src/vpp-api/test => test}/test_vapi.py (100%) rename {src/vpp-api/test => test}/test_vpe_api.py (100%) rename {src/vppinfra/test => test}/test_vppinfra.py (100%) rename {src/plugins/vrrp/test => test}/test_vrrp.py (100%) rename {src/vnet/vxlan/test => test}/test_vxlan.py (100%) rename {src/vnet/vxlan/test => test}/test_vxlan6.py (100%) rename {src/vnet/vxlan/test => test}/test_vxlan_gbp.py (100%) rename {src/vnet/vxlan/test => test}/test_vxlan_gpe.py (100%) rename {src/plugins/wireguard/test => test}/test_wireguard.py (100%) rename {src/plugins/acl/test => test}/vpp_acl.py (100%) rename {src/vnet/bier/test => test}/vpp_bier.py (100%) rename {src/vnet/bonding/test => test}/vpp_bond_interface.py (100%) rename {src/plugins/dhcp/test => test}/vpp_dhcp.py (100%) rename {src/plugins/igmp/test => test}/vpp_igmp.py (100%) rename {src/plugins/ikev2/test => test}/vpp_ikev2.py (100%) rename {src/plugins/lb/test => test}/vpp_lb.py (100%) rename {src/plugins/memif/test => test}/vpp_memif.py (100%) rename {src/plugins/pppoe/test => test}/vpp_pppoe_interface.py (100%) rename {src/plugins/srv6-ad/test => test}/vpp_srv6.py (100%) rename {src/vnet/vxlan/test => test}/vpp_vxlan_gbp_tunnel.py (100%) rename {src/vnet/vxlan/test => test}/vpp_vxlan_tunnel.py (100%) diff --git a/.gitignore b/.gitignore index d91975e72a0..80c4e4f92c9 100644 --- a/.gitignore +++ b/.gitignore @@ -20,6 +20,9 @@ /test/run/ /test/build/ /test/coverage/ +/test/venv/ +/test/vapi_test/ +/test/doc/build/ /build-config.mk /build/external/*.tar.gz /build/external/*.tar.xz @@ -117,4 +120,4 @@ compile_commands.json /extras/vpptop/build/* # debian packaging -.pc \ No newline at end of file +.pc diff --git a/src/plugins/srv6-am/test/vpp_srv6.py b/src/plugins/srv6-am/test/vpp_srv6.py deleted file mode 120000 index 78f756605fc..00000000000 --- a/src/plugins/srv6-am/test/vpp_srv6.py +++ /dev/null @@ -1 +0,0 @@ -../../srv6-ad/test/vpp_srv6.py \ No newline at end of file diff --git a/src/plugins/srv6-as/test/vpp_srv6.py b/src/plugins/srv6-as/test/vpp_srv6.py deleted file mode 120000 index 78f756605fc..00000000000 --- a/src/plugins/srv6-as/test/vpp_srv6.py +++ /dev/null @@ -1 +0,0 @@ -../../srv6-ad/test/vpp_srv6.py \ No newline at end of file diff --git a/test/Makefile b/test/Makefile index 0ee61a23c0b..82095883b55 100644 --- a/test/Makefile +++ b/test/Makefile @@ -13,14 +13,10 @@ ifndef TEST_DIR $(error TEST_DIR is not set) endif -export TEST_BR = $(BR)/build-test -export TEST_DOC_BR = $(TEST_BR)/doc -export BUILD_TEST_SRC = $(TEST_BR)/src +export TEST_BR = $(TEST_DIR) +export TEST_DOC_BR = $(TEST_DIR)/doc/build FAILED_DIR=/tmp/vpp-failed-unittests/ -PLUGIN_TEST_DIRS=$(shell find $(PLUGIN_SRC_DIR) -type d -name test -exec echo -n " -d {}" \;) -CORE_TEST_DIRS=$(shell find $(WS_ROOT)/src -not \( -path $(INTERN_PLUGIN_SRC_DIR) -prune \) -type d -name test -exec echo -n " -d {}" \;) -VPP_TEST_DIRS=$(shell ls -d $(TEST_DIR)$(PLUGIN_TEST_DIRS)$(CORE_TEST_DIRS) $(EXTERN_TESTS)) -VPP_TEST_SRC=$(shell for dir in $(VPP_TEST_DIRS) ; do ls $$dir/*.py 2>/dev/null; done) +VPP_TEST_DIRS=$(shell ls -d $(TEST_DIR) $(EXTERN_TESTS)) FORCE_NO_WIPE=0 ifeq ($(DEBUG),gdb) @@ -70,7 +66,7 @@ ifneq ($(EXTERN_TESTS),) UNITTEST_EXTRA_OPTS=$(UNITTEST_FAILFAST_OPTS) -d $(EXTERN_TESTS) endif -VENV_PATH=$(TEST_BR)/venv +VENV_PATH=$(TEST_DIR)/venv ifeq ($(TEST_DEBUG),1) VENV_RUN_DIR:=$(VENV_PATH)/run-debug @@ -84,11 +80,6 @@ else PYTHON_INTERP=$(PYTHON) endif -empty:= -space:= $(empty) $(empty) -export PYTHONPATH=$(subst $(space),:,$(VPP_TEST_DIRS)) -export PYTHONPYCACHEPREFIX=$(TEST_BR)/pycache - PYTHON_VERSION=$(shell $(PYTHON_INTERP) -c 'import sys; print(sys.version_info.major)') PIP_VERSION=20.1.1 # Keep in sync with requirements.txt @@ -158,7 +149,7 @@ PLUGIN_SRC_DIR=$(INTERN_PLUGIN_SRC_DIR) endif define retest-func -@env VPP_IN_GDB=$(VPP_IN_GDB) FORCE_FOREGROUND=$(FORCE_FOREGROUND) FAILED_DIR=$(FAILED_DIR) VENV_PATH=$(VENV_PATH) scripts/setsid_wrapper.sh $(FORCE_FOREGROUND) $(VENV_PATH)/bin/activate $(PYTHON_INTERP) $(PYTHON_PROFILE_OPTS) $(BUILD_TEST_SRC)/run_tests.py -d $(BUILD_TEST_SRC) $(UNITTEST_EXTRA_OPTS) || env FAILED_DIR=$(FAILED_DIR) COMPRESS_FAILED_TEST_LOGS=$(COMPRESS_FAILED_TEST_LOGS) scripts/compress_failed.sh +@env VPP_IN_GDB=$(VPP_IN_GDB) FORCE_FOREGROUND=$(FORCE_FOREGROUND) FAILED_DIR=$(FAILED_DIR) VENV_PATH=$(VENV_PATH) scripts/setsid_wrapper.sh $(FORCE_FOREGROUND) $(VENV_PATH)/bin/activate $(PYTHON_INTERP) $(PYTHON_PROFILE_OPTS) run_tests.py -d $(TEST_DIR) $(UNITTEST_EXTRA_OPTS) || env FAILED_DIR=$(FAILED_DIR) COMPRESS_FAILED_TEST_LOGS=$(COMPRESS_FAILED_TEST_LOGS) scripts/compress_failed.sh endef .PHONY: sanity @@ -167,8 +158,8 @@ ifeq ($(SANITY),no) SANITY_IMPORT_VPP_PAPI_CMD=true SANITY_RUN_VPP_CMD=true else -SANITY_IMPORT_VPP_PAPI_CMD=source $(VENV_PATH)/bin/activate && $(PYTHON_INTERP) $(BUILD_TEST_SRC)/sanity_import_vpp_papi.py -SANITY_RUN_VPP_CMD=source $(VENV_PATH)/bin/activate && $(PYTHON_INTERP) $(BUILD_TEST_SRC)/sanity_run_vpp.py +SANITY_IMPORT_VPP_PAPI_CMD=source $(VENV_PATH)/bin/activate && $(PYTHON_INTERP) sanity_import_vpp_papi.py +SANITY_RUN_VPP_CMD=source $(VENV_PATH)/bin/activate && $(PYTHON_INTERP) sanity_run_vpp.py endif ifndef TEST_JOBS @@ -207,16 +198,11 @@ sanity: test-dep ext-test-apps: make -C ext test-apps -$(BUILD_TEST_SRC): verify-env - @rm -rf $@ - @mkdir -p $@ - @for file in $(VPP_TEST_SRC); do if [ ! -L $$file ] && [ ! -e $(BUILD_TEST_SRC)/$$(basename $$file) ] ; then ln -s $$file $(BUILD_TEST_SRC) ; fi ; done - $(FAILED_DIR): reset @mkdir -p $@ .PHONY: test-dep -test-dep: $(BUILD_TEST_SRC) $(PAPI_INSTALL_DONE) $(FAILED_DIR) +test-dep: $(PAPI_INSTALL_DONE) $(FAILED_DIR) .PHONY: test test: test-dep ext-test-apps sanity @@ -229,8 +215,6 @@ retest: verify-env sanity $(FAILED_DIR) .PHONY: shell shell: test-dep @echo "source $(VENV_PATH)/bin/activate;\ - cd $(BUILD_TEST_SRC);\ - export PYTHONPATH=$(PYTHONPATH);\ export RND_SEED=$(RND_SEED);\ echo '***';\ echo PYTHONPATH=$(PYTHONPATH);\ @@ -259,14 +243,13 @@ wipe: reset @make -C ext clean @rm -rf $(VENV_PATH) @rm -rf $(patsubst %,%/__pycache__, $(VPP_TEST_DIRS)) - @rm -rf $(BUILD_TEST_SRC) $(TEST_DOC_BR): $(PIP_INSTALL_DONE) @mkdir -p $@ @bash -c "source $(VENV_PATH)/bin/activate && make -C doc html" .PHONY: doc -doc: $(BUILD_TEST_SRC) $(PIP_PATCH_DONE) $(TEST_DOC_BR) +doc: $(PIP_PATCH_DONE) $(TEST_DOC_BR) @echo @echo "Test Documentation URL: $(TEST_DOC_BR)/html/index.html" @echo "Run 'make test-wipe-doc test-doc' to rebuild the test docs" @@ -305,7 +288,7 @@ wipe-all: wipe wipe-papi wipe-doc wipe-cov @rm -rf $(TEST_BR) .PHONY: checkstyle-diff -checkstyle-diff: $(BUILD_TEST_SRC) $(PIP_INSTALL_DONE) +checkstyle-diff: $(PIP_INSTALL_DONE) @bash -c "source $(VENV_PATH)/bin/activate &&\ $(PYTHON_INTERP) -m pip install pycodestyle" @bash -c "source $(VENV_PATH)/bin/activate &&\ @@ -326,11 +309,11 @@ start-gdb: sanity $(call retest-func) .PHONY: checkstyle -checkstyle: $(BUILD_TEST_SRC) $(PIP_INSTALL_DONE) +checkstyle: $(PIP_INSTALL_DONE) @bash -c "source $(VENV_PATH)/bin/activate &&\ $(PYTHON_INTERP) -m pip install pycodestyle" @bash -c "source $(VENV_PATH)/bin/activate &&\ - pycodestyle --show-source --ignore=W504,E126,E241,E226,E305,E704,E741,E722 -v $(BUILD_TEST_SRC)/*.py ||\ + pycodestyle --show-source --ignore=W504,E126,E241,E226,E305,E704,E741,E722 -v *.py ||\ (echo \"*******************************************************************\" &&\ echo \"* Test framework PEP8 compliance check FAILED (checked all files)\" &&\ echo \"*******************************************************************\" &&\ diff --git a/src/vnet/bfd/test/bfd.py b/test/bfd.py similarity index 100% rename from src/vnet/bfd/test/bfd.py rename to test/bfd.py diff --git a/test/doc/Makefile b/test/doc/Makefile index 608df0a1baf..2d06cedd0be 100644 --- a/test/doc/Makefile +++ b/test/doc/Makefile @@ -19,9 +19,6 @@ endif ifndef TEST_DOC_BR $(error TEST_DOC_BR is not set) endif -ifndef BUILD_TEST_SRC - $(error BUILD_TEST_SRC is not set) -endif ifeq ($(IN_VENV),0) $(error "Not running inside virtualenv (are you running 'make test-doc' from root?)") endif @@ -32,7 +29,7 @@ regen-api-doc: verify-virtualenv @cp $(SRC_DOC_DIR)/index.rst $(API_DOC_GEN_DIR) @cp $(SRC_DOC_DIR)/indices.rst $(API_DOC_GEN_DIR) @cp $(SRC_DOC_DIR)/overview.rst $(API_DOC_GEN_DIR) - sphinx-apidoc -o $(API_DOC_GEN_DIR) -H "Module documentation" $(BUILD_TEST_SRC) + sphinx-apidoc -o $(API_DOC_GEN_DIR) -H "Module documentation" $(TEST_DIR) .PHONY: html html: regen-api-doc verify-virtualenv diff --git a/test/doc/conf.py b/test/doc/conf.py index f5e974954d8..f73cde27fae 100644 --- a/test/doc/conf.py +++ b/test/doc/conf.py @@ -18,7 +18,9 @@ # import os import sys -sys.path.insert(0, os.path.abspath('../../build-root/build-test/src')) +import subprocess +from datetime import date +sys.path.insert(0, os.path.abspath('..')) # -- General configuration ------------------------------------------------ @@ -59,17 +61,18 @@ master_doc = 'index' # General information about the project. project = u'VPP test framework' -copyright = u'2019, VPP team' -author = u'VPP team' +copyright = f'{date.today().year}, FD.io VPP team' +author = u'FD.io VPP team' # The version info for the project you're documenting, acts as replacement for # |version| and |release|, also used in various other places throughout the # built documents. # # The short X.Y version. -version = u'20.01' +output = subprocess.run(['../../src/scripts/version'], stdout=subprocess.PIPE) +version = f'{output.stdout.decode("utf-8")}' # The full version, including alpha/beta/rc tags. -release = u'20.01-rc0' +release = f'{output.stdout.decode("utf-8")}' # The language for content autogenerated by Sphinx. Refer to documentation # for a list of supported languages. diff --git a/src/plugins/abf/test/test_abf.py b/test/test_abf.py similarity index 100% rename from src/plugins/abf/test/test_abf.py rename to test/test_abf.py diff --git a/src/plugins/acl/test/test_acl_plugin.py b/test/test_acl_plugin.py similarity index 100% rename from src/plugins/acl/test/test_acl_plugin.py rename to test/test_acl_plugin.py diff --git a/src/plugins/acl/test/test_acl_plugin_conns.py b/test/test_acl_plugin_conns.py similarity index 100% rename from src/plugins/acl/test/test_acl_plugin_conns.py rename to test/test_acl_plugin_conns.py diff --git a/src/plugins/acl/test/test_acl_plugin_l2l3.py b/test/test_acl_plugin_l2l3.py similarity index 100% rename from src/plugins/acl/test/test_acl_plugin_l2l3.py rename to test/test_acl_plugin_l2l3.py diff --git a/src/plugins/acl/test/test_acl_plugin_macip.py b/test/test_acl_plugin_macip.py similarity index 100% rename from src/plugins/acl/test/test_acl_plugin_macip.py rename to test/test_acl_plugin_macip.py diff --git a/src/plugins/adl/test/test_adl.py b/test/test_adl.py similarity index 100% rename from src/plugins/adl/test/test_adl.py rename to test/test_adl.py diff --git a/src/plugins/arping/test/test_arping.py b/test/test_arping.py similarity index 100% rename from src/plugins/arping/test/test_arping.py rename to test/test_arping.py diff --git a/src/vnet/bfd/test/test_bfd.py b/test/test_bfd.py similarity index 100% rename from src/vnet/bfd/test/test_bfd.py rename to test/test_bfd.py diff --git a/src/vnet/bier/test/test_bier.py b/test/test_bier.py similarity index 100% rename from src/vnet/bier/test/test_bier.py rename to test/test_bier.py diff --git a/src/vppinfra/test/test_bihash.py b/test/test_bihash.py similarity index 100% rename from src/vppinfra/test/test_bihash.py rename to test/test_bihash.py diff --git a/src/vnet/bonding/test/test_bond.py b/test/test_bond.py similarity index 100% rename from src/vnet/bonding/test/test_bond.py rename to test/test_bond.py diff --git a/src/vlib/test/test_buffers.py b/test/test_buffers.py similarity index 100% rename from src/vlib/test/test_buffers.py rename to test/test_buffers.py diff --git a/src/plugins/cdp/test/test_cdp.py b/test/test_cdp.py similarity index 100% rename from src/plugins/cdp/test/test_cdp.py rename to test/test_cdp.py diff --git a/src/vnet/classify/test/test_classifier.py b/test/test_classifier.py similarity index 100% rename from src/vnet/classify/test/test_classifier.py rename to test/test_classifier.py diff --git a/src/vnet/classify/test/test_classifier_ip6.py b/test/test_classifier_ip6.py similarity index 100% rename from src/vnet/classify/test/test_classifier_ip6.py rename to test/test_classifier_ip6.py diff --git a/src/plugins/acl/test/test_classify_l2_acl.py b/test/test_classify_l2_acl.py similarity index 100% rename from src/plugins/acl/test/test_classify_l2_acl.py rename to test/test_classify_l2_acl.py diff --git a/src/vlib/test/test_cli.py b/test/test_cli.py similarity index 100% rename from src/vlib/test/test_cli.py rename to test/test_cli.py diff --git a/src/plugins/cnat/test/test_cnat.py b/test/test_cnat.py similarity index 100% rename from src/plugins/cnat/test/test_cnat.py rename to test/test_cnat.py diff --git a/src/vlib/test/test_counters.py b/test/test_counters.py similarity index 100% rename from src/vlib/test/test_counters.py rename to test/test_counters.py diff --git a/src/vnet/crypto/test/test_crypto.py b/test/test_crypto.py similarity index 100% rename from src/vnet/crypto/test/test_crypto.py rename to test/test_crypto.py diff --git a/src/plugins/nat/test/test_det44.py b/test/test_det44.py similarity index 100% rename from src/plugins/nat/test/test_det44.py rename to test/test_det44.py diff --git a/src/plugins/dhcp/test/test_dhcp.py b/test/test_dhcp.py similarity index 100% rename from src/plugins/dhcp/test/test_dhcp.py rename to test/test_dhcp.py diff --git a/src/plugins/dhcp/test/test_dhcp6.py b/test/test_dhcp6.py similarity index 100% rename from src/plugins/dhcp/test/test_dhcp6.py rename to test/test_dhcp6.py diff --git a/src/plugins/dns/test/test_dns.py b/test/test_dns.py similarity index 100% rename from src/plugins/dns/test/test_dns.py rename to test/test_dns.py diff --git a/src/plugins/nat/test/test_dslite.py b/test/test_dslite.py similarity index 100% rename from src/plugins/nat/test/test_dslite.py rename to test/test_dslite.py diff --git a/src/vnet/fib/test/test_dvr.py b/test/test_dvr.py similarity index 100% rename from src/vnet/fib/test/test_dvr.py rename to test/test_dvr.py diff --git a/src/vpp-api/test/test_endian.py b/test/test_endian.py similarity index 100% rename from src/vpp-api/test/test_endian.py rename to test/test_endian.py diff --git a/src/vnet/fib/test/test_fib.py b/test/test_fib.py similarity index 100% rename from src/vnet/fib/test/test_fib.py rename to test/test_fib.py diff --git a/src/plugins/flowprobe/test/test_flowprobe.py b/test/test_flowprobe.py similarity index 100% rename from src/plugins/flowprobe/test/test_flowprobe.py rename to test/test_flowprobe.py diff --git a/src/plugins/gbp/test/test_gbp.py b/test/test_gbp.py similarity index 100% rename from src/plugins/gbp/test/test_gbp.py rename to test/test_gbp.py diff --git a/src/plugins/geneve/test/test_geneve.py b/test/test_geneve.py similarity index 100% rename from src/plugins/geneve/test/test_geneve.py rename to test/test_geneve.py diff --git a/src/vnet/gre/test/test_gre.py b/test/test_gre.py similarity index 100% rename from src/vnet/gre/test/test_gre.py rename to test/test_gre.py diff --git a/src/vnet/gso/test/test_gro.py b/test/test_gro.py similarity index 100% rename from src/vnet/gso/test/test_gro.py rename to test/test_gro.py diff --git a/src/vnet/gso/test/test_gso.py b/test/test_gso.py similarity index 100% rename from src/vnet/gso/test/test_gso.py rename to test/test_gso.py diff --git a/src/plugins/gtpu/test/test_gtpu.py b/test/test_gtpu.py similarity index 100% rename from src/plugins/gtpu/test/test_gtpu.py rename to test/test_gtpu.py diff --git a/src/plugins/igmp/test/test_igmp.py b/test/test_igmp.py similarity index 100% rename from src/plugins/igmp/test/test_igmp.py rename to test/test_igmp.py diff --git a/src/plugins/ikev2/test/test_ikev2.py b/test/test_ikev2.py similarity index 100% rename from src/plugins/ikev2/test/test_ikev2.py rename to test/test_ikev2.py diff --git a/src/plugins/nat/test/test_ipsec_nat.py b/test/test_ipsec_nat.py similarity index 100% rename from src/plugins/nat/test/test_ipsec_nat.py rename to test/test_ipsec_nat.py diff --git a/src/plugins/l2tp/test/test_l2tp.py b/test/test_l2tp.py similarity index 100% rename from src/plugins/l2tp/test/test_l2tp.py rename to test/test_l2tp.py diff --git a/src/plugins/l3xc/test/test_l3xc.py b/test/test_l3xc.py similarity index 100% rename from src/plugins/l3xc/test/test_l3xc.py rename to test/test_l3xc.py diff --git a/src/plugins/lacp/test/test_lacp.py b/test/test_lacp.py similarity index 100% rename from src/plugins/lacp/test/test_lacp.py rename to test/test_lacp.py diff --git a/src/plugins/lb/test/test_lb.py b/test/test_lb.py similarity index 100% rename from src/plugins/lb/test/test_lb.py rename to test/test_lb.py diff --git a/src/plugins/lb/test/test_lb_api.py b/test/test_lb_api.py similarity index 100% rename from src/plugins/lb/test/test_lb_api.py rename to test/test_lb_api.py diff --git a/src/plugins/linux-cp/test/test_linux_cp.py b/test/test_linux_cp.py similarity index 100% rename from src/plugins/linux-cp/test/test_linux_cp.py rename to test/test_linux_cp.py diff --git a/src/plugins/lisp/test/test_lisp.py b/test/test_lisp.py similarity index 100% rename from src/plugins/lisp/test/test_lisp.py rename to test/test_lisp.py diff --git a/src/plugins/mactime/test/test_mactime.py b/test/test_mactime.py similarity index 100% rename from src/plugins/mactime/test/test_mactime.py rename to test/test_mactime.py diff --git a/src/plugins/map/test/test_map.py b/test/test_map.py similarity index 100% rename from src/plugins/map/test/test_map.py rename to test/test_map.py diff --git a/src/plugins/map/test/test_map_br.py b/test/test_map_br.py similarity index 100% rename from src/plugins/map/test/test_map_br.py rename to test/test_map_br.py diff --git a/src/plugins/memif/test/test_memif.py b/test/test_memif.py similarity index 100% rename from src/plugins/memif/test/test_memif.py rename to test/test_memif.py diff --git a/src/plugins/mss_clamp/test/test_mss_clamp.py b/test/test_mss_clamp.py similarity index 100% rename from src/plugins/mss_clamp/test/test_mss_clamp.py rename to test/test_mss_clamp.py diff --git a/src/plugins/nat/test/test_nat44_ed.py b/test/test_nat44_ed.py similarity index 100% rename from src/plugins/nat/test/test_nat44_ed.py rename to test/test_nat44_ed.py diff --git a/src/plugins/nat/test/test_nat44_ei.py b/test/test_nat44_ei.py similarity index 100% rename from src/plugins/nat/test/test_nat44_ei.py rename to test/test_nat44_ei.py diff --git a/src/plugins/nat/test/test_nat64.py b/test/test_nat64.py similarity index 100% rename from src/plugins/nat/test/test_nat64.py rename to test/test_nat64.py diff --git a/src/plugins/nat/test/test_nat66.py b/test/test_nat66.py similarity index 100% rename from src/plugins/nat/test/test_nat66.py rename to test/test_nat66.py diff --git a/src/plugins/ping/test/test_ping.py b/test/test_ping.py similarity index 100% rename from src/plugins/ping/test/test_ping.py rename to test/test_ping.py diff --git a/src/plugins/nat/test/test_pnat.py b/test/test_pnat.py similarity index 100% rename from src/plugins/nat/test/test_pnat.py rename to test/test_pnat.py diff --git a/src/vnet/policer/test/test_policer.py b/test/test_policer.py similarity index 100% rename from src/vnet/policer/test/test_policer.py rename to test/test_policer.py diff --git a/src/vnet/policer/test/test_policer_input.py b/test/test_policer_input.py similarity index 100% rename from src/vnet/policer/test/test_policer_input.py rename to test/test_policer_input.py diff --git a/src/plugins/pppoe/test/test_pppoe.py b/test/test_pppoe.py similarity index 100% rename from src/plugins/pppoe/test/test_pppoe.py rename to test/test_pppoe.py diff --git a/src/plugins/quic/test/test_quic.py b/test/test_quic.py similarity index 100% rename from src/plugins/quic/test/test_quic.py rename to test/test_quic.py diff --git a/src/plugins/srv6-am/test/test_srv6.py b/test/test_srv6.py similarity index 100% rename from src/plugins/srv6-am/test/test_srv6.py rename to test/test_srv6.py diff --git a/src/plugins/srv6-ad/test/test_srv6_ad.py b/test/test_srv6_ad.py similarity index 100% rename from src/plugins/srv6-ad/test/test_srv6_ad.py rename to test/test_srv6_ad.py diff --git a/src/plugins/srv6-ad-flow/test/test_srv6_ad_flow.py b/test/test_srv6_ad_flow.py similarity index 100% rename from src/plugins/srv6-ad-flow/test/test_srv6_ad_flow.py rename to test/test_srv6_ad_flow.py diff --git a/src/plugins/srv6-as/test/test_srv6_as.py b/test/test_srv6_as.py similarity index 100% rename from src/plugins/srv6-as/test/test_srv6_as.py rename to test/test_srv6_as.py diff --git a/src/plugins/srv6-mobile/test/test_srv6_mobile.py b/test/test_srv6_mobile.py similarity index 100% rename from src/plugins/srv6-mobile/test/test_srv6_mobile.py rename to test/test_srv6_mobile.py diff --git a/src/plugins/svs/test/test_svs.py b/test/test_svs.py similarity index 100% rename from src/plugins/svs/test/test_svs.py rename to test/test_svs.py diff --git a/src/plugins/urpf/test/test_urpf.py b/test/test_urpf.py similarity index 100% rename from src/plugins/urpf/test/test_urpf.py rename to test/test_urpf.py diff --git a/src/vpp-api/test/test_vapi.py b/test/test_vapi.py similarity index 100% rename from src/vpp-api/test/test_vapi.py rename to test/test_vapi.py diff --git a/src/vpp-api/test/test_vpe_api.py b/test/test_vpe_api.py similarity index 100% rename from src/vpp-api/test/test_vpe_api.py rename to test/test_vpe_api.py diff --git a/src/vppinfra/test/test_vppinfra.py b/test/test_vppinfra.py similarity index 100% rename from src/vppinfra/test/test_vppinfra.py rename to test/test_vppinfra.py diff --git a/src/plugins/vrrp/test/test_vrrp.py b/test/test_vrrp.py similarity index 100% rename from src/plugins/vrrp/test/test_vrrp.py rename to test/test_vrrp.py diff --git a/src/vnet/vxlan/test/test_vxlan.py b/test/test_vxlan.py similarity index 100% rename from src/vnet/vxlan/test/test_vxlan.py rename to test/test_vxlan.py diff --git a/src/vnet/vxlan/test/test_vxlan6.py b/test/test_vxlan6.py similarity index 100% rename from src/vnet/vxlan/test/test_vxlan6.py rename to test/test_vxlan6.py diff --git a/src/vnet/vxlan/test/test_vxlan_gbp.py b/test/test_vxlan_gbp.py similarity index 100% rename from src/vnet/vxlan/test/test_vxlan_gbp.py rename to test/test_vxlan_gbp.py diff --git a/src/vnet/vxlan/test/test_vxlan_gpe.py b/test/test_vxlan_gpe.py similarity index 100% rename from src/vnet/vxlan/test/test_vxlan_gpe.py rename to test/test_vxlan_gpe.py diff --git a/src/plugins/wireguard/test/test_wireguard.py b/test/test_wireguard.py similarity index 100% rename from src/plugins/wireguard/test/test_wireguard.py rename to test/test_wireguard.py diff --git a/src/plugins/acl/test/vpp_acl.py b/test/vpp_acl.py similarity index 100% rename from src/plugins/acl/test/vpp_acl.py rename to test/vpp_acl.py diff --git a/src/vnet/bier/test/vpp_bier.py b/test/vpp_bier.py similarity index 100% rename from src/vnet/bier/test/vpp_bier.py rename to test/vpp_bier.py diff --git a/src/vnet/bonding/test/vpp_bond_interface.py b/test/vpp_bond_interface.py similarity index 100% rename from src/vnet/bonding/test/vpp_bond_interface.py rename to test/vpp_bond_interface.py diff --git a/src/plugins/dhcp/test/vpp_dhcp.py b/test/vpp_dhcp.py similarity index 100% rename from src/plugins/dhcp/test/vpp_dhcp.py rename to test/vpp_dhcp.py diff --git a/src/plugins/igmp/test/vpp_igmp.py b/test/vpp_igmp.py similarity index 100% rename from src/plugins/igmp/test/vpp_igmp.py rename to test/vpp_igmp.py diff --git a/src/plugins/ikev2/test/vpp_ikev2.py b/test/vpp_ikev2.py similarity index 100% rename from src/plugins/ikev2/test/vpp_ikev2.py rename to test/vpp_ikev2.py diff --git a/src/plugins/lb/test/vpp_lb.py b/test/vpp_lb.py similarity index 100% rename from src/plugins/lb/test/vpp_lb.py rename to test/vpp_lb.py diff --git a/src/plugins/memif/test/vpp_memif.py b/test/vpp_memif.py similarity index 100% rename from src/plugins/memif/test/vpp_memif.py rename to test/vpp_memif.py diff --git a/src/plugins/pppoe/test/vpp_pppoe_interface.py b/test/vpp_pppoe_interface.py similarity index 100% rename from src/plugins/pppoe/test/vpp_pppoe_interface.py rename to test/vpp_pppoe_interface.py diff --git a/src/plugins/srv6-ad/test/vpp_srv6.py b/test/vpp_srv6.py similarity index 100% rename from src/plugins/srv6-ad/test/vpp_srv6.py rename to test/vpp_srv6.py diff --git a/src/vnet/vxlan/test/vpp_vxlan_gbp_tunnel.py b/test/vpp_vxlan_gbp_tunnel.py similarity index 100% rename from src/vnet/vxlan/test/vpp_vxlan_gbp_tunnel.py rename to test/vpp_vxlan_gbp_tunnel.py diff --git a/src/vnet/vxlan/test/vpp_vxlan_tunnel.py b/test/vpp_vxlan_tunnel.py similarity index 100% rename from src/vnet/vxlan/test/vpp_vxlan_tunnel.py rename to test/vpp_vxlan_tunnel.py -- 2.16.6