dev: fix counter_start in counter clear routine