PAL: Add HDRHistogram graphs for latency
[csit.git] / docs / report / dpdk_performance_tests / packet_latency_graphs / index.rst
index 51ad6ee..ce54d43 100644 (file)
@@ -36,14 +36,21 @@ Additional information about graph data:
 .. note::
 
     Test results have been generated by
-    `FD.io test executor dpdk performance job 3n-hsw`_,
+    `FD.io test executor dpdk performance job 2n-skx`_,
     `FD.io test executor dpdk performance job 3n-skx`_ and
-    `FD.io test executor dpdk performance job 2n-skx`_ with RF
+    `FD.io test executor dpdk performance job 3n-hsw`_ with RF
     result files csit-dpdk-perf-|srelease|-\*.zip
     `archived here <../../_static/archive/>`_.
+    Required per test case data set size is **10** and for DPDK tests
+    this is the actual size, as all scheduled test executions completed
+    successfully.
 
 .. toctree::
     :maxdepth: 3
 
-    l2
-    ip4
+    2n-skx-xxv710
+    2n-skx-x710
+    3n-skx-xxv710
+    3n-skx-x710
+    3n-hsw-xl710
+    3n-hsw-x710