CSIT-24 Proxy ARP test
[csit.git] / resources / traffic_scripts / arp_request.py
index 94d5dea..8c5b9c7 100755 (executable)
@@ -47,6 +47,7 @@ def arp_request_test():
     """Send ARP request, expect a reply and verify its fields.
 
     returns: test status
     """Send ARP request, expect a reply and verify its fields.
 
     returns: test status
+    :raises RuntimeError: ARP reply timeout.
     """
     test_passed = False
     (src_if, src_mac, dst_mac, src_ip, dst_ip) = parse_arguments()
     """
     test_passed = False
     (src_if, src_mac, dst_mac, src_ip, dst_ip) = parse_arguments()
@@ -65,6 +66,9 @@ def arp_request_test():
         # wait for APR reply
         ether = interface.recv_pkt()
 
         # wait for APR reply
         ether = interface.recv_pkt()
 
+        if not ether:
+            raise RuntimeError("ARP reply timeout")
+
         # verify received packet
 
         if not ether.haslayer(ARP):
         # verify received packet
 
         if not ether.haslayer(ARP):