Report: Add rls data 18/35518/1
authorTibor Frank <tifrank@cisco.com>
Wed, 2 Mar 2022 09:43:55 +0000 (10:43 +0100)
committerTibor Frank <tifrank@cisco.com>
Wed, 2 Mar 2022 09:43:55 +0000 (10:43 +0100)
commit5c35ced8f373858aa8cf16e58d4dc2177a656a9b
tree1d8117bd6c443d7505b2fea9b46823616f03b5f9
parent0a34eb9b7d4c0449c36edff7a5ab80dce76ce786
Report: Add rls data

Change-Id: Iba98ba577fad2817489bb119f6a60e60bc6df175
Signed-off-by: Tibor Frank <tifrank@cisco.com>
resources/tools/presentation/specifications/report/data_sets.yaml