L2 BD API to flush all IP-MAC entries in the specified BD
[vpp.git] / src / vat / api_format.c
index 83b875e..db22f29 100644 (file)
@@ -18,6 +18,7 @@
  */
 
 #include <vat/vat.h>
+#include <vpp/api/types.h>
 #include <vppinfra/socket.h>
 #include <vlibapi/api.h>
 #include <vlibmemory/api.h>
@@ -53,6 +54,8 @@
 #include <vnet/bonding/node.h>
 #include <vnet/qos/qos_types.h>
 #include "vat/json_format.h"
+#include <vnet/ip/ip_types_api.h>
+#include <vnet/ethernet/ethernet_types_api.h>
 
 #include <inttypes.h>
 #include <sys/stat.h>
@@ -88,9 +91,15 @@ vl (void *p)
 int
 vat_socket_connect (vat_main_t * vam)
 {
+  int rv;
   vam->socket_client_main = &socket_client_main;
-  return vl_socket_client_connect ((char *) vam->socket_name, "vpp_api_test",
-                                  0 /* default socket rx, tx buffer */ );
+  if ((rv = vl_socket_client_connect ((char *) vam->socket_name,
+                                     "vpp_api_test",
+                                     0 /* default socket rx, tx buffer */ )))
+    return rv;
+  /* vpp expects the client index in network order */
+  vam->my_client_index = htonl (socket_client_main.client_index);
+  return 0;
 }
 #else /* vpp built-in case, we don't do sockets... */
 int
@@ -1094,7 +1103,7 @@ vl_api_cli_inband_reply_t_handler (vl_api_cli_inband_reply_t * mp)
 {
   vat_main_t *vam = &vat_main;
   i32 retval = ntohl (mp->retval);
-  u32 length = ntohl (mp->length);
+  u32 length = vl_api_string_len (&mp->reply);
 
   vec_reset_length (vam->cmd_reply);
 
@@ -1102,7 +1111,8 @@ vl_api_cli_inband_reply_t_handler (vl_api_cli_inband_reply_t * mp)
   if (retval == 0)
     {
       vec_validate (vam->cmd_reply, length);
-      clib_memcpy ((char *) (vam->cmd_reply), mp->reply, length);
+      clib_memcpy ((char *) (vam->cmd_reply),
+                  vl_api_from_api_string (&mp->reply), length);
       vam->cmd_reply[length] = 0;
     }
   vam->result_ready = 1;
@@ -1118,7 +1128,8 @@ vl_api_cli_inband_reply_t_handler_json (vl_api_cli_inband_reply_t * mp)
 
   vat_json_init_object (&node);
   vat_json_object_add_int (&node, "retval", ntohl (mp->retval));
-  vat_json_object_add_string_copy (&node, "reply", mp->reply);
+  vat_json_object_add_string_copy (&node, "reply",
+                                  vl_api_from_api_string (&mp->reply));
 
   vat_json_print (vam->ofp, &node);
   vat_json_free (&node);
@@ -1289,10 +1300,30 @@ static void vl_api_show_version_reply_t_handler
 
   if (retval >= 0)
     {
-      errmsg ("        program: %s", mp->program);
-      errmsg ("        version: %s", mp->version);
-      errmsg ("     build date: %s", mp->build_date);
-      errmsg ("build directory: %s", mp->build_directory);
+      char *s;
+      char *p = (char *) &mp->program;
+
+      s = vl_api_from_api_string_c ((vl_api_string_t *) p);
+      errmsg ("        program: %s\n", s);
+      free (s);
+
+      p +=
+       vl_api_string_len ((vl_api_string_t *) p) + sizeof (vl_api_string_t);
+      s = vl_api_from_api_string_c ((vl_api_string_t *) p);
+      errmsg ("        version: %s\n", s);
+      free (s);
+
+      p +=
+       vl_api_string_len ((vl_api_string_t *) p) + sizeof (vl_api_string_t);
+      s = vl_api_from_api_string_c ((vl_api_string_t *) p);
+      errmsg ("     build date: %s\n", s);
+      free (s);
+
+      p +=
+       vl_api_string_len ((vl_api_string_t *) p) + sizeof (vl_api_string_t);
+      s = vl_api_from_api_string_c ((vl_api_string_t *) p);
+      errmsg ("build directory: %s\n", s);
+      free (s);
     }
   vam->retval = retval;
   vam->result_ready = 1;
@@ -1306,11 +1337,22 @@ static void vl_api_show_version_reply_t_handler_json
 
   vat_json_init_object (&node);
   vat_json_object_add_int (&node, "retval", ntohl (mp->retval));
-  vat_json_object_add_string_copy (&node, "program", mp->program);
-  vat_json_object_add_string_copy (&node, "version", mp->version);
-  vat_json_object_add_string_copy (&node, "build_date", mp->build_date);
+  char *p = (char *) &mp->program;
+  vat_json_object_add_string_copy (&node, "program",
+                                  vl_api_from_api_string ((vl_api_string_t *)
+                                                          p));
+  p += vl_api_string_len ((vl_api_string_t *) p) + sizeof (u32);
+  vat_json_object_add_string_copy (&node, "version",
+                                  vl_api_from_api_string ((vl_api_string_t *)
+                                                          p));
+  p += vl_api_string_len ((vl_api_string_t *) p) + sizeof (u32);
+  vat_json_object_add_string_copy (&node, "build_date",
+                                  vl_api_from_api_string ((vl_api_string_t *)
+                                                          p));
+  p += vl_api_string_len ((vl_api_string_t *) p) + sizeof (u32);
   vat_json_object_add_string_copy (&node, "build_directory",
-                                  mp->build_directory);
+                                  vl_api_from_api_string ((vl_api_string_t *)
+                                                          p));
 
   vat_json_print (vam->ofp, &node);
   vat_json_free (&node);
@@ -1319,6 +1361,80 @@ static void vl_api_show_version_reply_t_handler_json
   vam->result_ready = 1;
 }
 
+static void vl_api_show_threads_reply_t_handler
+  (vl_api_show_threads_reply_t * mp)
+{
+  vat_main_t *vam = &vat_main;
+  i32 retval = ntohl (mp->retval);
+  int i, count = 0;
+
+  if (retval >= 0)
+    count = ntohl (mp->count);
+
+  for (i = 0; i < count; i++)
+    print (vam->ofp,
+          "\n%-2d %-11s %-11s %-5d %-6d %-4d %-6d",
+          ntohl (mp->thread_data[i].id), mp->thread_data[i].name,
+          mp->thread_data[i].type, ntohl (mp->thread_data[i].pid),
+          ntohl (mp->thread_data[i].cpu_id), ntohl (mp->thread_data[i].core),
+          ntohl (mp->thread_data[i].cpu_socket));
+
+  vam->retval = retval;
+  vam->result_ready = 1;
+}
+
+static void vl_api_show_threads_reply_t_handler_json
+  (vl_api_show_threads_reply_t * mp)
+{
+  vat_main_t *vam = &vat_main;
+  vat_json_node_t node;
+  vl_api_thread_data_t *td;
+  i32 retval = ntohl (mp->retval);
+  int i, count = 0;
+
+  if (retval >= 0)
+    count = ntohl (mp->count);
+
+  vat_json_init_object (&node);
+  vat_json_object_add_int (&node, "retval", retval);
+  vat_json_object_add_uint (&node, "count", count);
+
+  for (i = 0; i < count; i++)
+    {
+      td = &mp->thread_data[i];
+      vat_json_object_add_uint (&node, "id", ntohl (td->id));
+      vat_json_object_add_string_copy (&node, "name", td->name);
+      vat_json_object_add_string_copy (&node, "type", td->type);
+      vat_json_object_add_uint (&node, "pid", ntohl (td->pid));
+      vat_json_object_add_int (&node, "cpu_id", ntohl (td->cpu_id));
+      vat_json_object_add_int (&node, "core", ntohl (td->id));
+      vat_json_object_add_int (&node, "cpu_socket", ntohl (td->cpu_socket));
+    }
+
+  vat_json_print (vam->ofp, &node);
+  vat_json_free (&node);
+
+  vam->retval = retval;
+  vam->result_ready = 1;
+}
+
+static int
+api_show_threads (vat_main_t * vam)
+{
+  vl_api_show_threads_t *mp;
+  int ret;
+
+  print (vam->ofp,
+        "\n%-2s %-11s %-11s %-5s %-6s %-4s %-6s",
+        "ID", "Name", "Type", "LWP", "cpu_id", "Core", "Socket");
+
+  M (SHOW_THREADS, mp);
+
+  S (mp);
+  W (ret);
+  return ret;
+}
+
 static void
 vl_api_ip4_arp_event_t_handler (vl_api_ip4_arp_event_t * mp)
 {
@@ -1389,12 +1505,13 @@ static void vl_api_bridge_domain_details_t_handler
   u32 n_sw_ifs = ntohl (mp->n_sw_ifs);
   int i;
 
-  print (vam->ofp, "\n%-3s %-3s %-3s %-3s %-3s %-3s",
-        " ID", "LRN", "FWD", "FLD", "BVI", "#IF");
+  print (vam->ofp, "\n%-3s %-3s %-3s %-3s %-3s %-6s %-3s",
+        " ID", "LRN", "FWD", "FLD", "BVI", "UU-FWD", "#IF");
 
-  print (vam->ofp, "%3d %3d %3d %3d %3d %3d",
+  print (vam->ofp, "%3d %3d %3d %3d %3d %6d %3d",
         ntohl (mp->bd_id), mp->learn, mp->forward,
-        mp->flood, ntohl (mp->bvi_sw_if_index), n_sw_ifs);
+        mp->flood, ntohl (mp->bvi_sw_if_index),
+        ntohl (mp->uu_fwd_sw_if_index), n_sw_ifs);
 
   if (n_sw_ifs)
     {
@@ -2069,8 +2186,10 @@ static void vl_api_mpls_tunnel_add_del_reply_t_handler
   else
     {
       vam->retval = retval;
+      vam->sw_if_index = ntohl (mp->sw_if_index);
       vam->result_ready = 1;
     }
+  vam->regenerate_interface_table = 1;
 }
 
 static void vl_api_mpls_tunnel_add_del_reply_t_handler_json
@@ -2632,288 +2751,6 @@ static void vl_api_dhcp_compl_event_t_handler_json
   /* JSON output not supported */
 }
 
-static void
-set_simple_interface_counter (u8 vnet_counter_type, u32 sw_if_index,
-                             u32 counter)
-{
-  vat_main_t *vam = &vat_main;
-  static u64 default_counter = 0;
-
-  vec_validate_init_empty (vam->simple_interface_counters, vnet_counter_type,
-                          NULL);
-  vec_validate_init_empty (vam->simple_interface_counters[vnet_counter_type],
-                          sw_if_index, default_counter);
-  vam->simple_interface_counters[vnet_counter_type][sw_if_index] = counter;
-}
-
-static void
-set_combined_interface_counter (u8 vnet_counter_type, u32 sw_if_index,
-                               interface_counter_t counter)
-{
-  vat_main_t *vam = &vat_main;
-  static interface_counter_t default_counter = { 0, };
-
-  vec_validate_init_empty (vam->combined_interface_counters,
-                          vnet_counter_type, NULL);
-  vec_validate_init_empty (vam->combined_interface_counters
-                          [vnet_counter_type], sw_if_index, default_counter);
-  vam->combined_interface_counters[vnet_counter_type][sw_if_index] = counter;
-}
-
-static void vl_api_vnet_interface_simple_counters_t_handler
-  (vl_api_vnet_interface_simple_counters_t * mp)
-{
-  /* not supported */
-}
-
-static void vl_api_vnet_interface_combined_counters_t_handler
-  (vl_api_vnet_interface_combined_counters_t * mp)
-{
-  /* not supported */
-}
-
-static void vl_api_vnet_interface_simple_counters_t_handler_json
-  (vl_api_vnet_interface_simple_counters_t * mp)
-{
-  u64 *v_packets;
-  u64 packets;
-  u32 count;
-  u32 first_sw_if_index;
-  int i;
-
-  count = ntohl (mp->count);
-  first_sw_if_index = ntohl (mp->first_sw_if_index);
-
-  v_packets = (u64 *) & mp->data;
-  for (i = 0; i < count; i++)
-    {
-      packets = clib_net_to_host_u64 (clib_mem_unaligned (v_packets, u64));
-      set_simple_interface_counter (mp->vnet_counter_type,
-                                   first_sw_if_index + i, packets);
-      v_packets++;
-    }
-}
-
-static void vl_api_vnet_interface_combined_counters_t_handler_json
-  (vl_api_vnet_interface_combined_counters_t * mp)
-{
-  interface_counter_t counter;
-  vlib_counter_t *v;
-  u32 first_sw_if_index;
-  int i;
-  u32 count;
-
-  count = ntohl (mp->count);
-  first_sw_if_index = ntohl (mp->first_sw_if_index);
-
-  v = (vlib_counter_t *) & mp->data;
-  for (i = 0; i < count; i++)
-    {
-      counter.packets =
-       clib_net_to_host_u64 (clib_mem_unaligned (&v->packets, u64));
-      counter.bytes =
-       clib_net_to_host_u64 (clib_mem_unaligned (&v->bytes, u64));
-      set_combined_interface_counter (mp->vnet_counter_type,
-                                     first_sw_if_index + i, counter);
-      v++;
-    }
-}
-
-static u32
-ip4_fib_counters_get_vrf_index_by_vrf_id (u32 vrf_id)
-{
-  vat_main_t *vam = &vat_main;
-  u32 i;
-
-  for (i = 0; i < vec_len (vam->ip4_fib_counters_vrf_id_by_index); i++)
-    {
-      if (vam->ip4_fib_counters_vrf_id_by_index[i] == vrf_id)
-       {
-         return i;
-       }
-    }
-  return ~0;
-}
-
-static u32
-ip6_fib_counters_get_vrf_index_by_vrf_id (u32 vrf_id)
-{
-  vat_main_t *vam = &vat_main;
-  u32 i;
-
-  for (i = 0; i < vec_len (vam->ip6_fib_counters_vrf_id_by_index); i++)
-    {
-      if (vam->ip6_fib_counters_vrf_id_by_index[i] == vrf_id)
-       {
-         return i;
-       }
-    }
-  return ~0;
-}
-
-static void vl_api_vnet_ip4_fib_counters_t_handler
-  (vl_api_vnet_ip4_fib_counters_t * mp)
-{
-  /* not supported */
-}
-
-static void vl_api_vnet_ip4_fib_counters_t_handler_json
-  (vl_api_vnet_ip4_fib_counters_t * mp)
-{
-  vat_main_t *vam = &vat_main;
-  vl_api_ip4_fib_counter_t *v;
-  ip4_fib_counter_t *counter;
-  struct in_addr ip4;
-  u32 vrf_id;
-  u32 vrf_index;
-  u32 count;
-  int i;
-
-  vrf_id = ntohl (mp->vrf_id);
-  vrf_index = ip4_fib_counters_get_vrf_index_by_vrf_id (vrf_id);
-  if (~0 == vrf_index)
-    {
-      vrf_index = vec_len (vam->ip4_fib_counters_vrf_id_by_index);
-      vec_validate (vam->ip4_fib_counters_vrf_id_by_index, vrf_index);
-      vam->ip4_fib_counters_vrf_id_by_index[vrf_index] = vrf_id;
-      vec_validate (vam->ip4_fib_counters, vrf_index);
-      vam->ip4_fib_counters[vrf_index] = NULL;
-    }
-
-  vec_free (vam->ip4_fib_counters[vrf_index]);
-  v = (vl_api_ip4_fib_counter_t *) & mp->c;
-  count = ntohl (mp->count);
-  for (i = 0; i < count; i++)
-    {
-      vec_validate (vam->ip4_fib_counters[vrf_index], i);
-      counter = &vam->ip4_fib_counters[vrf_index][i];
-      clib_memcpy (&ip4, &v->address, sizeof (ip4));
-      counter->address = ip4;
-      counter->address_length = v->address_length;
-      counter->packets = clib_net_to_host_u64 (v->packets);
-      counter->bytes = clib_net_to_host_u64 (v->bytes);
-      v++;
-    }
-}
-
-static void vl_api_vnet_ip4_nbr_counters_t_handler
-  (vl_api_vnet_ip4_nbr_counters_t * mp)
-{
-  /* not supported */
-}
-
-static void vl_api_vnet_ip4_nbr_counters_t_handler_json
-  (vl_api_vnet_ip4_nbr_counters_t * mp)
-{
-  vat_main_t *vam = &vat_main;
-  vl_api_ip4_nbr_counter_t *v;
-  ip4_nbr_counter_t *counter;
-  u32 sw_if_index;
-  u32 count;
-  int i;
-
-  sw_if_index = ntohl (mp->sw_if_index);
-  count = ntohl (mp->count);
-  vec_validate (vam->ip4_nbr_counters, sw_if_index);
-
-  if (mp->begin)
-    vec_free (vam->ip4_nbr_counters[sw_if_index]);
-
-  v = (vl_api_ip4_nbr_counter_t *) & mp->c;
-  for (i = 0; i < count; i++)
-    {
-      vec_validate (vam->ip4_nbr_counters[sw_if_index], i);
-      counter = &vam->ip4_nbr_counters[sw_if_index][i];
-      counter->address.s_addr = v->address;
-      counter->packets = clib_net_to_host_u64 (v->packets);
-      counter->bytes = clib_net_to_host_u64 (v->bytes);
-      counter->linkt = v->link_type;
-      v++;
-    }
-}
-
-static void vl_api_vnet_ip6_fib_counters_t_handler
-  (vl_api_vnet_ip6_fib_counters_t * mp)
-{
-  /* not supported */
-}
-
-static void vl_api_vnet_ip6_fib_counters_t_handler_json
-  (vl_api_vnet_ip6_fib_counters_t * mp)
-{
-  vat_main_t *vam = &vat_main;
-  vl_api_ip6_fib_counter_t *v;
-  ip6_fib_counter_t *counter;
-  struct in6_addr ip6;
-  u32 vrf_id;
-  u32 vrf_index;
-  u32 count;
-  int i;
-
-  vrf_id = ntohl (mp->vrf_id);
-  vrf_index = ip6_fib_counters_get_vrf_index_by_vrf_id (vrf_id);
-  if (~0 == vrf_index)
-    {
-      vrf_index = vec_len (vam->ip6_fib_counters_vrf_id_by_index);
-      vec_validate (vam->ip6_fib_counters_vrf_id_by_index, vrf_index);
-      vam->ip6_fib_counters_vrf_id_by_index[vrf_index] = vrf_id;
-      vec_validate (vam->ip6_fib_counters, vrf_index);
-      vam->ip6_fib_counters[vrf_index] = NULL;
-    }
-
-  vec_free (vam->ip6_fib_counters[vrf_index]);
-  v = (vl_api_ip6_fib_counter_t *) & mp->c;
-  count = ntohl (mp->count);
-  for (i = 0; i < count; i++)
-    {
-      vec_validate (vam->ip6_fib_counters[vrf_index], i);
-      counter = &vam->ip6_fib_counters[vrf_index][i];
-      clib_memcpy (&ip6, &v->address, sizeof (ip6));
-      counter->address = ip6;
-      counter->address_length = v->address_length;
-      counter->packets = clib_net_to_host_u64 (v->packets);
-      counter->bytes = clib_net_to_host_u64 (v->bytes);
-      v++;
-    }
-}
-
-static void vl_api_vnet_ip6_nbr_counters_t_handler
-  (vl_api_vnet_ip6_nbr_counters_t * mp)
-{
-  /* not supported */
-}
-
-static void vl_api_vnet_ip6_nbr_counters_t_handler_json
-  (vl_api_vnet_ip6_nbr_counters_t * mp)
-{
-  vat_main_t *vam = &vat_main;
-  vl_api_ip6_nbr_counter_t *v;
-  ip6_nbr_counter_t *counter;
-  struct in6_addr ip6;
-  u32 sw_if_index;
-  u32 count;
-  int i;
-
-  sw_if_index = ntohl (mp->sw_if_index);
-  count = ntohl (mp->count);
-  vec_validate (vam->ip6_nbr_counters, sw_if_index);
-
-  if (mp->begin)
-    vec_free (vam->ip6_nbr_counters[sw_if_index]);
-
-  v = (vl_api_ip6_nbr_counter_t *) & mp->c;
-  for (i = 0; i < count; i++)
-    {
-      vec_validate (vam->ip6_nbr_counters[sw_if_index], i);
-      counter = &vam->ip6_nbr_counters[sw_if_index][i];
-      clib_memcpy (&ip6, &v->address, sizeof (ip6));
-      counter->address = ip6;
-      counter->packets = clib_net_to_host_u64 (v->packets);
-      counter->bytes = clib_net_to_host_u64 (v->bytes);
-      v++;
-    }
-}
-
 static void vl_api_get_first_msg_id_reply_t_handler
   (vl_api_get_first_msg_id_reply_t * mp)
 {
@@ -5313,18 +5150,6 @@ static void vl_api_flow_classify_details_t_handler_json
   vat_json_object_add_uint (node, "table_index", ntohl (mp->table_index));
 }
 
-#define vl_api_vnet_interface_simple_counters_t_endian vl_noop_handler
-#define vl_api_vnet_interface_simple_counters_t_print vl_noop_handler
-#define vl_api_vnet_interface_combined_counters_t_endian vl_noop_handler
-#define vl_api_vnet_interface_combined_counters_t_print vl_noop_handler
-#define vl_api_vnet_ip4_fib_counters_t_endian vl_noop_handler
-#define vl_api_vnet_ip4_fib_counters_t_print vl_noop_handler
-#define vl_api_vnet_ip6_fib_counters_t_endian vl_noop_handler
-#define vl_api_vnet_ip6_fib_counters_t_print vl_noop_handler
-#define vl_api_vnet_ip4_nbr_counters_t_endian vl_noop_handler
-#define vl_api_vnet_ip4_nbr_counters_t_print vl_noop_handler
-#define vl_api_vnet_ip6_nbr_counters_t_endian vl_noop_handler
-#define vl_api_vnet_ip6_nbr_counters_t_print vl_noop_handler
 #define vl_api_one_adjacencies_get_reply_t_endian vl_noop_handler
 #define vl_api_one_adjacencies_get_reply_t_print vl_noop_handler
 #define vl_api_one_l2_arp_bd_get_reply_t_print vl_noop_handler
@@ -5381,7 +5206,6 @@ _(dhcp_proxy_set_vss_reply)                             \
 _(dhcp_client_config_reply)                             \
 _(set_ip_flow_hash_reply)                               \
 _(sw_interface_ip6_enable_disable_reply)                \
-_(sw_interface_ip6_set_link_local_address_reply)        \
 _(ip6nd_proxy_add_del_reply)                            \
 _(sw_interface_ip6nd_ra_prefix_reply)                   \
 _(sw_interface_ip6nd_ra_config_reply)                   \
@@ -5435,8 +5259,8 @@ _(ikev2_initiate_del_child_sa_reply)                    \
 _(ikev2_initiate_rekey_child_sa_reply)                  \
 _(delete_loopback_reply)                                \
 _(bd_ip_mac_add_del_reply)                              \
+_(bd_ip_mac_flush_reply)                                \
 _(want_interface_events_reply)                          \
-_(want_stats_reply)                                    \
 _(cop_interface_enable_disable_reply)                  \
 _(cop_whitelist_enable_disable_reply)                   \
 _(sw_interface_clear_stats_reply)                       \
@@ -5485,7 +5309,7 @@ _(ip_source_and_port_range_check_add_del_reply)         \
 _(ip_source_and_port_range_check_interface_add_del_reply)\
 _(delete_subif_reply)                                   \
 _(l2_interface_pbb_tag_rewrite_reply)                   \
-_(punt_reply)                                           \
+_(set_punt_reply)                                       \
 _(feature_enable_disable_reply)                                \
 _(sw_interface_tag_add_del_reply)                      \
 _(hw_interface_set_mtu_reply)                           \
@@ -5607,8 +5431,6 @@ _(DHCP_CLIENT_CONFIG_REPLY, dhcp_client_config_reply)                   \
 _(SET_IP_FLOW_HASH_REPLY, set_ip_flow_hash_reply)                       \
 _(SW_INTERFACE_IP6_ENABLE_DISABLE_REPLY,                                \
   sw_interface_ip6_enable_disable_reply)                                \
-_(SW_INTERFACE_IP6_SET_LINK_LOCAL_ADDRESS_REPLY,                        \
-  sw_interface_ip6_set_link_local_address_reply)                        \
 _(IP6ND_PROXY_ADD_DEL_REPLY, ip6nd_proxy_add_del_reply)                 \
 _(IP6ND_PROXY_DETAILS, ip6nd_proxy_details)                             \
 _(SW_INTERFACE_IP6ND_RA_PREFIX_REPLY,                                   \
@@ -5654,6 +5476,7 @@ _(CREATE_VHOST_USER_IF_REPLY, create_vhost_user_if_reply)               \
 _(MODIFY_VHOST_USER_IF_REPLY, modify_vhost_user_if_reply)               \
 _(DELETE_VHOST_USER_IF_REPLY, delete_vhost_user_if_reply)               \
 _(SHOW_VERSION_REPLY, show_version_reply)                               \
+_(SHOW_THREADS_REPLY, show_threads_reply)                               \
 _(L2_FIB_TABLE_DETAILS, l2_fib_table_details)                          \
 _(VXLAN_GPE_ADD_DEL_TUNNEL_REPLY, vxlan_gpe_add_del_tunnel_reply)      \
 _(VXLAN_GPE_TUNNEL_DETAILS, vxlan_gpe_tunnel_details)                   \
@@ -5693,10 +5516,10 @@ _(IKEV2_INITIATE_DEL_CHILD_SA_REPLY, ikev2_initiate_del_child_sa_reply) \
 _(IKEV2_INITIATE_REKEY_CHILD_SA_REPLY, ikev2_initiate_rekey_child_sa_reply) \
 _(DELETE_LOOPBACK_REPLY, delete_loopback_reply)                         \
 _(BD_IP_MAC_ADD_DEL_REPLY, bd_ip_mac_add_del_reply)                     \
+_(BD_IP_MAC_FLUSH_REPLY, bd_ip_mac_flush_reply)                         \
 _(BD_IP_MAC_DETAILS, bd_ip_mac_details)                                 \
 _(DHCP_COMPL_EVENT, dhcp_compl_event)                                   \
 _(WANT_INTERFACE_EVENTS_REPLY, want_interface_events_reply)             \
-_(WANT_STATS_REPLY, want_stats_reply)                                  \
 _(GET_FIRST_MSG_ID_REPLY, get_first_msg_id_reply)                      \
 _(COP_INTERFACE_ENABLE_DISABLE_REPLY, cop_interface_enable_disable_reply) \
 _(COP_WHITELIST_ENABLE_DISABLE_REPLY, cop_whitelist_enable_disable_reply) \
@@ -5816,7 +5639,7 @@ _(IPSEC_GRE_ADD_DEL_TUNNEL_REPLY, ipsec_gre_add_del_tunnel_reply)       \
 _(IPSEC_GRE_TUNNEL_DETAILS, ipsec_gre_tunnel_details)                   \
 _(DELETE_SUBIF_REPLY, delete_subif_reply)                               \
 _(L2_INTERFACE_PBB_TAG_REWRITE_REPLY, l2_interface_pbb_tag_rewrite_reply) \
-_(PUNT_REPLY, punt_reply)                                               \
+_(SET_PUNT_REPLY, set_punt_reply)                                       \
 _(IP_FIB_DETAILS, ip_fib_details)                                       \
 _(IP6_FIB_DETAILS, ip6_fib_details)                                     \
 _(FEATURE_ENABLE_DISABLE_REPLY, feature_enable_disable_reply)           \
@@ -5842,13 +5665,7 @@ _(OUTPUT_ACL_SET_INTERFACE_REPLY, output_acl_set_interface_reply)       \
 _(QOS_RECORD_ENABLE_DISABLE_REPLY, qos_record_enable_disable_reply)
 
 #define foreach_standalone_reply_msg                                   \
-_(SW_INTERFACE_EVENT, sw_interface_event)                               \
-_(VNET_INTERFACE_SIMPLE_COUNTERS, vnet_interface_simple_counters)       \
-_(VNET_INTERFACE_COMBINED_COUNTERS, vnet_interface_combined_counters)   \
-_(VNET_IP4_FIB_COUNTERS, vnet_ip4_fib_counters)                         \
-_(VNET_IP6_FIB_COUNTERS, vnet_ip6_fib_counters)                         \
-_(VNET_IP4_NBR_COUNTERS, vnet_ip4_nbr_counters)                         \
-_(VNET_IP6_NBR_COUNTERS, vnet_ip6_nbr_counters)
+_(SW_INTERFACE_EVENT, sw_interface_event)
 
 typedef struct
 {
@@ -6022,205 +5839,6 @@ dump_ipv6_table (vat_main_t * vam)
   return dump_ip_table (vam, 1);
 }
 
-static char *
-counter_type_to_str (u8 counter_type, u8 is_combined)
-{
-  if (!is_combined)
-    {
-      switch (counter_type)
-       {
-       case VNET_INTERFACE_COUNTER_DROP:
-         return "drop";
-       case VNET_INTERFACE_COUNTER_PUNT:
-         return "punt";
-       case VNET_INTERFACE_COUNTER_IP4:
-         return "ip4";
-       case VNET_INTERFACE_COUNTER_IP6:
-         return "ip6";
-       case VNET_INTERFACE_COUNTER_RX_NO_BUF:
-         return "rx-no-buf";
-       case VNET_INTERFACE_COUNTER_RX_MISS:
-         return "rx-miss";
-       case VNET_INTERFACE_COUNTER_RX_ERROR:
-         return "rx-error";
-       case VNET_INTERFACE_COUNTER_TX_ERROR:
-         return "tx-error";
-       default:
-         return "INVALID-COUNTER-TYPE";
-       }
-    }
-  else
-    {
-      switch (counter_type)
-       {
-       case VNET_INTERFACE_COUNTER_RX:
-         return "rx";
-       case VNET_INTERFACE_COUNTER_TX:
-         return "tx";
-       default:
-         return "INVALID-COUNTER-TYPE";
-       }
-    }
-}
-
-static int
-dump_stats_table (vat_main_t * vam)
-{
-  vat_json_node_t node;
-  vat_json_node_t *msg_array;
-  vat_json_node_t *msg;
-  vat_json_node_t *counter_array;
-  vat_json_node_t *counter;
-  interface_counter_t c;
-  u64 packets;
-  ip4_fib_counter_t *c4;
-  ip6_fib_counter_t *c6;
-  ip4_nbr_counter_t *n4;
-  ip6_nbr_counter_t *n6;
-  int i, j;
-
-  if (!vam->json_output)
-    {
-      clib_warning ("dump_stats_table supported only in JSON format");
-      return -99;
-    }
-
-  vat_json_init_object (&node);
-
-  /* interface counters */
-  msg_array = vat_json_object_add (&node, "interface_counters");
-  vat_json_init_array (msg_array);
-  for (i = 0; i < vec_len (vam->simple_interface_counters); i++)
-    {
-      msg = vat_json_array_add (msg_array);
-      vat_json_init_object (msg);
-      vat_json_object_add_string_copy (msg, "vnet_counter_type",
-                                      (u8 *) counter_type_to_str (i, 0));
-      vat_json_object_add_int (msg, "is_combined", 0);
-      counter_array = vat_json_object_add (msg, "data");
-      vat_json_init_array (counter_array);
-      for (j = 0; j < vec_len (vam->simple_interface_counters[i]); j++)
-       {
-         packets = vam->simple_interface_counters[i][j];
-         vat_json_array_add_uint (counter_array, packets);
-       }
-    }
-  for (i = 0; i < vec_len (vam->combined_interface_counters); i++)
-    {
-      msg = vat_json_array_add (msg_array);
-      vat_json_init_object (msg);
-      vat_json_object_add_string_copy (msg, "vnet_counter_type",
-                                      (u8 *) counter_type_to_str (i, 1));
-      vat_json_object_add_int (msg, "is_combined", 1);
-      counter_array = vat_json_object_add (msg, "data");
-      vat_json_init_array (counter_array);
-      for (j = 0; j < vec_len (vam->combined_interface_counters[i]); j++)
-       {
-         c = vam->combined_interface_counters[i][j];
-         counter = vat_json_array_add (counter_array);
-         vat_json_init_object (counter);
-         vat_json_object_add_uint (counter, "packets", c.packets);
-         vat_json_object_add_uint (counter, "bytes", c.bytes);
-       }
-    }
-
-  /* ip4 fib counters */
-  msg_array = vat_json_object_add (&node, "ip4_fib_counters");
-  vat_json_init_array (msg_array);
-  for (i = 0; i < vec_len (vam->ip4_fib_counters); i++)
-    {
-      msg = vat_json_array_add (msg_array);
-      vat_json_init_object (msg);
-      vat_json_object_add_uint (msg, "vrf_id",
-                               vam->ip4_fib_counters_vrf_id_by_index[i]);
-      counter_array = vat_json_object_add (msg, "c");
-      vat_json_init_array (counter_array);
-      for (j = 0; j < vec_len (vam->ip4_fib_counters[i]); j++)
-       {
-         counter = vat_json_array_add (counter_array);
-         vat_json_init_object (counter);
-         c4 = &vam->ip4_fib_counters[i][j];
-         vat_json_object_add_ip4 (counter, "address", c4->address);
-         vat_json_object_add_uint (counter, "address_length",
-                                   c4->address_length);
-         vat_json_object_add_uint (counter, "packets", c4->packets);
-         vat_json_object_add_uint (counter, "bytes", c4->bytes);
-       }
-    }
-
-  /* ip6 fib counters */
-  msg_array = vat_json_object_add (&node, "ip6_fib_counters");
-  vat_json_init_array (msg_array);
-  for (i = 0; i < vec_len (vam->ip6_fib_counters); i++)
-    {
-      msg = vat_json_array_add (msg_array);
-      vat_json_init_object (msg);
-      vat_json_object_add_uint (msg, "vrf_id",
-                               vam->ip6_fib_counters_vrf_id_by_index[i]);
-      counter_array = vat_json_object_add (msg, "c");
-      vat_json_init_array (counter_array);
-      for (j = 0; j < vec_len (vam->ip6_fib_counters[i]); j++)
-       {
-         counter = vat_json_array_add (counter_array);
-         vat_json_init_object (counter);
-         c6 = &vam->ip6_fib_counters[i][j];
-         vat_json_object_add_ip6 (counter, "address", c6->address);
-         vat_json_object_add_uint (counter, "address_length",
-                                   c6->address_length);
-         vat_json_object_add_uint (counter, "packets", c6->packets);
-         vat_json_object_add_uint (counter, "bytes", c6->bytes);
-       }
-    }
-
-  /* ip4 nbr counters */
-  msg_array = vat_json_object_add (&node, "ip4_nbr_counters");
-  vat_json_init_array (msg_array);
-  for (i = 0; i < vec_len (vam->ip4_nbr_counters); i++)
-    {
-      msg = vat_json_array_add (msg_array);
-      vat_json_init_object (msg);
-      vat_json_object_add_uint (msg, "sw_if_index", i);
-      counter_array = vat_json_object_add (msg, "c");
-      vat_json_init_array (counter_array);
-      for (j = 0; j < vec_len (vam->ip4_nbr_counters[i]); j++)
-       {
-         counter = vat_json_array_add (counter_array);
-         vat_json_init_object (counter);
-         n4 = &vam->ip4_nbr_counters[i][j];
-         vat_json_object_add_ip4 (counter, "address", n4->address);
-         vat_json_object_add_uint (counter, "link-type", n4->linkt);
-         vat_json_object_add_uint (counter, "packets", n4->packets);
-         vat_json_object_add_uint (counter, "bytes", n4->bytes);
-       }
-    }
-
-  /* ip6 nbr counters */
-  msg_array = vat_json_object_add (&node, "ip6_nbr_counters");
-  vat_json_init_array (msg_array);
-  for (i = 0; i < vec_len (vam->ip6_nbr_counters); i++)
-    {
-      msg = vat_json_array_add (msg_array);
-      vat_json_init_object (msg);
-      vat_json_object_add_uint (msg, "sw_if_index", i);
-      counter_array = vat_json_object_add (msg, "c");
-      vat_json_init_array (counter_array);
-      for (j = 0; j < vec_len (vam->ip6_nbr_counters[i]); j++)
-       {
-         counter = vat_json_array_add (counter_array);
-         vat_json_init_object (counter);
-         n6 = &vam->ip6_nbr_counters[i][j];
-         vat_json_object_add_ip6 (counter, "address", n6->address);
-         vat_json_object_add_uint (counter, "packets", n6->packets);
-         vat_json_object_add_uint (counter, "bytes", n6->bytes);
-       }
-    }
-
-  vat_json_print (vam->ofp, &node);
-  vat_json_free (&node);
-
-  return 0;
-}
-
 /*
  * Pass CLI buffers directly in the CLI_INBAND API message,
  * instead of an additional shared memory area.
@@ -6253,8 +5871,7 @@ exec_inband (vat_main_t * vam)
    */
   u32 len = vec_len (vam->input->buffer);
   M2 (CLI_INBAND, mp, len);
-  clib_memcpy (mp->cmd, vam->input->buffer, len);
-  mp->length = htonl (len);
+  vl_api_to_api_string (len - 1, (const char *) vam->input->buffer, &mp->cmd);
 
   S (mp);
   W (ret);
@@ -6282,7 +5899,7 @@ api_create_loopback (vat_main_t * vam)
   u32 user_instance = 0;
   int ret;
 
-  memset (mac_address, 0, sizeof (mac_address));
+  clib_memset (mac_address, 0, sizeof (mac_address));
 
   while (unformat_check_input (i) != UNFORMAT_END_OF_INPUT)
     {
@@ -6348,38 +5965,6 @@ api_delete_loopback (vat_main_t * vam)
   return ret;
 }
 
-static int
-api_want_stats (vat_main_t * vam)
-{
-  unformat_input_t *i = vam->input;
-  vl_api_want_stats_t *mp;
-  int enable = -1;
-  int ret;
-
-  while (unformat_check_input (i) != UNFORMAT_END_OF_INPUT)
-    {
-      if (unformat (i, "enable"))
-       enable = 1;
-      else if (unformat (i, "disable"))
-       enable = 0;
-      else
-       break;
-    }
-
-  if (enable == -1)
-    {
-      errmsg ("missing enable|disable");
-      return -99;
-    }
-
-  M (WANT_STATS, mp);
-  mp->enable_disable = enable;
-
-  S (mp);
-  W (ret);
-  return ret;
-}
-
 static int
 api_want_interface_events (vat_main_t * vam)
 {
@@ -7737,16 +7322,17 @@ api_bridge_flags (vat_main_t * vam)
 static int
 api_bd_ip_mac_add_del (vat_main_t * vam)
 {
+  vl_api_address_t ip = VL_API_ZERO_ADDRESS;
+  vl_api_mac_address_t mac = { 0 };
   unformat_input_t *i = vam->input;
   vl_api_bd_ip_mac_add_del_t *mp;
+  ip46_type_t type;
   u32 bd_id;
   u8 is_ipv6 = 0;
   u8 is_add = 1;
   u8 bd_id_set = 0;
   u8 ip_set = 0;
   u8 mac_set = 0;
-  ip4_address_t v4addr;
-  ip6_address_t v6addr;
   u8 macaddr[6];
   int ret;
 
@@ -7758,16 +7344,11 @@ api_bd_ip_mac_add_del (vat_main_t * vam)
        {
          bd_id_set++;
        }
-      else if (unformat (i, "%U", unformat_ip4_address, &v4addr))
+      else if (unformat (i, "%U", unformat_vl_api_address, &ip))
        {
          ip_set++;
        }
-      else if (unformat (i, "%U", unformat_ip6_address, &v6addr))
-       {
-         ip_set++;
-         is_ipv6++;
-       }
-      else if (unformat (i, "%U", unformat_ethernet_address, macaddr))
+      else if (unformat (i, "%U", unformat_vl_api_mac_address, &mac))
        {
          mac_set++;
        }
@@ -7796,13 +7377,45 @@ api_bd_ip_mac_add_del (vat_main_t * vam)
   M (BD_IP_MAC_ADD_DEL, mp);
 
   mp->bd_id = ntohl (bd_id);
-  mp->is_ipv6 = is_ipv6;
   mp->is_add = is_add;
-  if (is_ipv6)
-    clib_memcpy (mp->ip_address, &v6addr, sizeof (v6addr));
-  else
-    clib_memcpy (mp->ip_address, &v4addr, sizeof (v4addr));
-  clib_memcpy (mp->mac_address, macaddr, 6);
+
+  clib_memcpy (&mp->ip, &ip, sizeof (ip));
+  clib_memcpy (&mp->mac, &mac, sizeof (mac));
+
+  S (mp);
+  W (ret);
+  return ret;
+}
+
+static int
+api_bd_ip_mac_flush (vat_main_t * vam)
+{
+  unformat_input_t *i = vam->input;
+  vl_api_bd_ip_mac_flush_t *mp;
+  u32 bd_id;
+  u8 bd_id_set = 0;
+  int ret;
+
+  while (unformat_check_input (i) != UNFORMAT_END_OF_INPUT)
+    {
+      if (unformat (i, "bd_id %d", &bd_id))
+       {
+         bd_id_set++;
+       }
+      else
+       break;
+    }
+
+  if (bd_id_set == 0)
+    {
+      errmsg ("missing bridge domain");
+      return -99;
+    }
+
+  M (BD_IP_MAC_FLUSH, mp);
+
+  mp->bd_id = ntohl (bd_id);
+
   S (mp);
   W (ret);
   return ret;
@@ -7920,7 +7533,7 @@ api_tap_connect (vat_main_t * vam)
   int ip6_address_set = 0;
   int ret;
 
-  memset (mac_address, 0, sizeof (mac_address));
+  clib_memset (mac_address, 0, sizeof (mac_address));
 
   /* Parse args required to build the message */
   while (unformat_check_input (i) != UNFORMAT_END_OF_INPUT)
@@ -8009,7 +7622,7 @@ api_tap_modify (vat_main_t * vam)
   u8 sw_if_index_set = 0;
   int ret;
 
-  memset (mac_address, 0, sizeof (mac_address));
+  clib_memset (mac_address, 0, sizeof (mac_address));
 
   /* Parse args required to build the message */
   while (unformat_check_input (i) != UNFORMAT_END_OF_INPUT)
@@ -8126,7 +7739,7 @@ api_tap_create_v2 (vat_main_t * vam)
   int ret;
   u32 rx_ring_sz = 0, tx_ring_sz = 0;
 
-  memset (mac_address, 0, sizeof (mac_address));
+  clib_memset (mac_address, 0, sizeof (mac_address));
 
   /* Parse args required to build the message */
   while (unformat_check_input (i) != UNFORMAT_END_OF_INPUT)
@@ -8237,7 +7850,7 @@ api_tap_create_v2 (vat_main_t * vam)
     clib_memcpy (mp->host_bridge, host_bridge, vec_len (host_bridge));
   if (host_ip4_prefix_len)
     clib_memcpy (mp->host_ip4_addr, &host_ip4_addr, 4);
-  if (host_ip4_prefix_len)
+  if (host_ip6_prefix_len)
     clib_memcpy (mp->host_ip6_addr, &host_ip6_addr, 16);
   if (host_ip4_gw_set)
     clib_memcpy (mp->host_ip4_gw, &host_ip4_gw, 4);
@@ -8307,7 +7920,7 @@ api_bond_create (vat_main_t * vam)
   u8 lb;
   u8 mode_is_set = 0;
 
-  memset (mac_address, 0, sizeof (mac_address));
+  clib_memset (mac_address, 0, sizeof (mac_address));
   lb = BOND_LB_L2;
 
   /* Parse args required to build the message */
@@ -8567,8 +8180,8 @@ api_ip_add_del_route (vat_main_t * vam)
   mpls_label_t next_hop_out_label = MPLS_LABEL_INVALID;
   mpls_label_t next_hop_via_label = MPLS_LABEL_INVALID;
 
-  memset (&v4_next_hop_address, 0, sizeof (ip4_address_t));
-  memset (&v6_next_hop_address, 0, sizeof (ip6_address_t));
+  clib_memset (&v4_next_hop_address, 0, sizeof (ip4_address_t));
+  clib_memset (&v6_next_hop_address, 0, sizeof (ip6_address_t));
   /* Parse args required to build the message */
   while (unformat_check_input (i) != UNFORMAT_END_OF_INPUT)
     {
@@ -8752,6 +8365,7 @@ api_ip_add_del_route (vat_main_t * vam)
       mp->is_resolve_host = resolve_host;
       mp->is_resolve_attached = resolve_attached;
       mp->next_hop_weight = next_hop_weight;
+      mp->next_hop_preference = 0;
       mp->dst_address_length = dst_address_length;
       mp->next_hop_table_id = ntohl (next_hop_table_id);
       mp->classify_table_index = ntohl (classify_table_index);
@@ -8885,14 +8499,14 @@ api_ip_mroute_add_del (vat_main_t * vam)
        }
       else if (unformat (i, "%U", unformat_ip4_address, &v4_grp_address))
        {
-         memset (&v4_src_address, 0, sizeof (v4_src_address));
+         clib_memset (&v4_src_address, 0, sizeof (v4_src_address));
          grp_address_length = 32;
          address_set = 1;
          is_ipv6 = 0;
        }
       else if (unformat (i, "%U", unformat_ip6_address, &v6_grp_address))
        {
-         memset (&v6_src_address, 0, sizeof (v6_src_address));
+         clib_memset (&v6_src_address, 0, sizeof (v6_src_address));
          grp_address_length = 128;
          address_set = 1;
          is_ipv6 = 1;
@@ -9159,6 +8773,7 @@ api_mpls_route_add_del (vat_main_t * vam)
       mp->mr_is_resolve_attached = resolve_attached;
       mp->mr_is_interface_rx = is_interface_rx;
       mp->mr_next_hop_weight = next_hop_weight;
+      mp->mr_next_hop_preference = 0;
       mp->mr_next_hop_table_id = ntohl (next_hop_table_id);
       mp->mr_classify_table_index = ntohl (classify_table_index);
       mp->mr_next_hop_via_label = ntohl (next_hop_via_label);
@@ -9687,18 +9302,21 @@ api_mpls_tunnel_add_del (vat_main_t * vam)
     .as_u32 = 0,
   };
   ip6_address_t v6_next_hop_address = { {0} };
+  vl_api_fib_mpls_label_t *next_hop_out_label_stack = NULL;
   mpls_label_t next_hop_via_label = MPLS_LABEL_INVALID;
-  mpls_label_t next_hop_out_label = MPLS_LABEL_INVALID, *labels = NULL;
+  mpls_label_t next_hop_out_label = MPLS_LABEL_INVALID;
   int ret;
 
   while (unformat_check_input (i) != UNFORMAT_END_OF_INPUT)
     {
       if (unformat (i, "add"))
        is_add = 1;
+      else
+       if (unformat
+           (i, "del %U", api_unformat_sw_if_index, vam, &sw_if_index))
+       is_add = 0;
       else if (unformat (i, "del sw_if_index %d", &sw_if_index))
        is_add = 0;
-      else if (unformat (i, "sw_if_index %d", &next_hop_sw_if_index))
-       ;
       else if (unformat (i, "via %U",
                         unformat_ip4_address, &v4_next_hop_address))
        {
@@ -9711,12 +9329,25 @@ api_mpls_tunnel_add_del (vat_main_t * vam)
        }
       else if (unformat (i, "via-label %d", &next_hop_via_label))
        ;
+      else
+       if (unformat
+           (i, "%U", api_unformat_sw_if_index, vam, &next_hop_sw_if_index))
+       ;
+      else if (unformat (i, "sw_if_index %d", &next_hop_sw_if_index))
+       ;
       else if (unformat (i, "l2-only"))
        l2_only = 1;
       else if (unformat (i, "next-hop-table %d", &next_hop_table_id))
        ;
       else if (unformat (i, "out-label %d", &next_hop_out_label))
-       vec_add1 (labels, ntohl (next_hop_out_label));
+       {
+         vl_api_fib_mpls_label_t fib_label = {
+           .label = ntohl (next_hop_out_label),
+           .ttl = 64,
+           .exp = 0,
+         };
+         vec_add1 (next_hop_out_label_stack, fib_label);
+       }
       else
        {
          clib_warning ("parse error '%U'", format_unformat_error, i);
@@ -9724,7 +9355,8 @@ api_mpls_tunnel_add_del (vat_main_t * vam)
        }
     }
 
-  M2 (MPLS_TUNNEL_ADD_DEL, mp, sizeof (mpls_label_t) * vec_len (labels));
+  M2 (MPLS_TUNNEL_ADD_DEL, mp, sizeof (vl_api_fib_mpls_label_t) *
+      vec_len (next_hop_out_label_stack));
 
   mp->mt_next_hop_sw_if_index = ntohl (next_hop_sw_if_index);
   mp->mt_sw_if_index = ntohl (sw_if_index);
@@ -9733,14 +9365,18 @@ api_mpls_tunnel_add_del (vat_main_t * vam)
   mp->mt_next_hop_table_id = ntohl (next_hop_table_id);
   mp->mt_next_hop_proto_is_ip4 = next_hop_proto_is_ip4;
   mp->mt_next_hop_via_label = ntohl (next_hop_via_label);
+  mp->mt_next_hop_weight = 1;
+  mp->mt_next_hop_preference = 0;
 
-  mp->mt_next_hop_n_out_labels = vec_len (labels);
+  mp->mt_next_hop_n_out_labels = vec_len (next_hop_out_label_stack);
 
   if (0 != mp->mt_next_hop_n_out_labels)
     {
-      clib_memcpy (mp->mt_next_hop_out_label_stack, labels,
-                  sizeof (mpls_label_t) * mp->mt_next_hop_n_out_labels);
-      vec_free (labels);
+      clib_memcpy (mp->mt_next_hop_out_label_stack,
+                  next_hop_out_label_stack,
+                  (vec_len (next_hop_out_label_stack) *
+                   sizeof (vl_api_fib_mpls_label_t)));
+      vec_free (next_hop_out_label_stack);
     }
 
   if (next_hop_proto_is_ip4)
@@ -9822,7 +9458,7 @@ api_ip_neighbor_add_del (vat_main_t * vam)
   ip6_address_t v6address;
   int ret;
 
-  memset (mac_address, 0, sizeof (mac_address));
+  clib_memset (mac_address, 0, sizeof (mac_address));
 
   /* Parse args required to build the message */
   while (unformat_check_input (i) != UNFORMAT_END_OF_INPUT)
@@ -9885,7 +9521,7 @@ api_ip_neighbor_add_del (vat_main_t * vam)
     }
   else
     {
-      /* mp->is_ipv6 = 0; via memset in M macro above */
+      /* mp->is_ipv6 = 0; via clib_memset in M macro above */
       clib_memcpy (mp->dst_address, &v4address, sizeof (v4address));
     }
 
@@ -10579,55 +10215,6 @@ api_sw_interface_ip6_enable_disable (vat_main_t * vam)
   return ret;
 }
 
-static int
-api_sw_interface_ip6_set_link_local_address (vat_main_t * vam)
-{
-  unformat_input_t *i = vam->input;
-  vl_api_sw_interface_ip6_set_link_local_address_t *mp;
-  u32 sw_if_index;
-  u8 sw_if_index_set = 0;
-  u8 v6_address_set = 0;
-  ip6_address_t v6address;
-  int ret;
-
-  /* Parse args required to build the message */
-  while (unformat_check_input (i) != UNFORMAT_END_OF_INPUT)
-    {
-      if (unformat (i, "%U", api_unformat_sw_if_index, vam, &sw_if_index))
-       sw_if_index_set = 1;
-      else if (unformat (i, "sw_if_index %d", &sw_if_index))
-       sw_if_index_set = 1;
-      else if (unformat (i, "%U", unformat_ip6_address, &v6address))
-       v6_address_set = 1;
-      else
-       break;
-    }
-
-  if (sw_if_index_set == 0)
-    {
-      errmsg ("missing interface name or sw_if_index");
-      return -99;
-    }
-  if (!v6_address_set)
-    {
-      errmsg ("no address set");
-      return -99;
-    }
-
-  /* Construct the API message */
-  M (SW_INTERFACE_IP6_SET_LINK_LOCAL_ADDRESS, mp);
-
-  mp->sw_if_index = ntohl (sw_if_index);
-  clib_memcpy (mp->address, &v6address, sizeof (v6address));
-
-  /* send it... */
-  S (mp);
-
-  /* Wait for a reply, return good/bad news  */
-  W (ret);
-  return ret;
-}
-
 static int
 api_ip6nd_proxy_add_del (vat_main_t * vam)
 {
@@ -11046,8 +10633,8 @@ api_sr_localsid_add_del (vat_main_t * vam)
   u32 fib_table = ~(u32) 0;
   ip6_address_t nh_addr6;
   ip4_address_t nh_addr4;
-  memset (&nh_addr6, 0, sizeof (ip6_address_t));
-  memset (&nh_addr4, 0, sizeof (ip4_address_t));
+  clib_memset (&nh_addr6, 0, sizeof (ip6_address_t));
+  clib_memset (&nh_addr4, 0, sizeof (ip4_address_t));
 
   bool nexthop_set = 0;
 
@@ -11196,7 +10783,7 @@ unformat_tcp_mask (unformat_input_t * input, va_list * args)
 
   tcp = (tcp_header_t *) mask;
 
-#define _(a) if (a) memset (&tcp->a, 0xff, sizeof (tcp->a));
+#define _(a) if (a) clib_memset (&tcp->a, 0xff, sizeof (tcp->a));
   foreach_tcp_proto_field;
 #undef _
 
@@ -11237,7 +10824,7 @@ unformat_udp_mask (unformat_input_t * input, va_list * args)
 
   udp = (udp_header_t *) mask;
 
-#define _(a) if (a) memset (&udp->a, 0xff, sizeof (udp->a));
+#define _(a) if (a) clib_memset (&udp->a, 0xff, sizeof (udp->a));
   foreach_udp_proto_field;
 #undef _
 
@@ -11327,7 +10914,7 @@ unformat_ip4_mask (unformat_input_t * input, va_list * args)
 
   ip = (ip4_header_t *) mask;
 
-#define _(a) if (a) memset (&ip->a, 0xff, sizeof (ip->a));
+#define _(a) if (a) clib_memset (&ip->a, 0xff, sizeof (ip->a));
   foreach_ip4_proto_field;
 #undef _
 
@@ -11399,7 +10986,7 @@ unformat_ip6_mask (unformat_input_t * input, va_list * args)
 
   ip = (ip6_header_t *) mask;
 
-#define _(a) if (a) memset (&ip->a, 0xff, sizeof (ip->a));
+#define _(a) if (a) clib_memset (&ip->a, 0xff, sizeof (ip->a));
   foreach_ip6_proto_field;
 #undef _
 
@@ -11495,10 +11082,10 @@ unformat_l2_mask (unformat_input_t * input, va_list * args)
   vec_validate (mask, len - 1);
 
   if (dst)
-    memset (mask, 0xff, 6);
+    clib_memset (mask, 0xff, 6);
 
   if (src)
-    memset (mask + 6, 0xff, 6);
+    clib_memset (mask + 6, 0xff, 6);
 
   if (tag2 || dot1ad)
     {
@@ -13284,8 +12871,8 @@ api_vxlan_add_del_tunnel (vat_main_t * vam)
   int ret;
 
   /* Can't "universally zero init" (={0}) due to GCC bug 53119 */
-  memset (&src, 0, sizeof src);
-  memset (&dst, 0, sizeof dst);
+  clib_memset (&src, 0, sizeof src);
+  clib_memset (&dst, 0, sizeof dst);
 
   while (unformat_check_input (line_input) != UNFORMAT_END_OF_INPUT)
     {
@@ -13567,8 +13154,8 @@ api_geneve_add_del_tunnel (vat_main_t * vam)
   int ret;
 
   /* Can't "universally zero init" (={0}) due to GCC bug 53119 */
-  memset (&src, 0, sizeof src);
-  memset (&dst, 0, sizeof dst);
+  clib_memset (&src, 0, sizeof src);
+  clib_memset (&dst, 0, sizeof dst);
 
   while (unformat_check_input (line_input) != UNFORMAT_END_OF_INPUT)
     {
@@ -13827,10 +13414,10 @@ api_gre_add_del_tunnel (vat_main_t * vam)
   u32 instance = ~0;
   int ret;
 
-  memset (&src4, 0, sizeof src4);
-  memset (&dst4, 0, sizeof dst4);
-  memset (&src6, 0, sizeof src6);
-  memset (&dst6, 0, sizeof dst6);
+  clib_memset (&src4, 0, sizeof src4);
+  clib_memset (&dst4, 0, sizeof dst4);
+  clib_memset (&src6, 0, sizeof src6);
+  clib_memset (&dst6, 0, sizeof dst6);
 
   while (unformat_check_input (line_input) != UNFORMAT_END_OF_INPUT)
     {
@@ -14152,7 +13739,7 @@ api_create_vhost_user_if (vat_main_t * vam)
   int ret;
 
   /* Shut up coverity */
-  memset (hwaddr, 0, sizeof (hwaddr));
+  clib_memset (hwaddr, 0, sizeof (hwaddr));
 
   while (unformat_check_input (i) != UNFORMAT_END_OF_INPUT)
     {
@@ -14411,10 +13998,10 @@ api_vxlan_gpe_add_del_tunnel (vat_main_t * vam)
   int ret;
 
   /* Can't "universally zero init" (={0}) due to GCC bug 53119 */
-  memset (&local4, 0, sizeof local4);
-  memset (&remote4, 0, sizeof remote4);
-  memset (&local6, 0, sizeof local6);
-  memset (&remote6, 0, sizeof remote6);
+  clib_memset (&local4, 0, sizeof local4);
+  clib_memset (&remote4, 0, sizeof remote4);
+  clib_memset (&local6, 0, sizeof local6);
+  clib_memset (&remote6, 0, sizeof remote6);
 
   while (unformat_check_input (line_input) != UNFORMAT_END_OF_INPUT)
     {
@@ -16819,7 +16406,7 @@ unformat_lisp_eid_vat (unformat_input_t * input, va_list * args)
 {
   lisp_eid_vat_t *a = va_arg (*args, lisp_eid_vat_t *);
 
-  memset (a, 0, sizeof (a[0]));
+  clib_memset (a, 0, sizeof (a[0]));
 
   if (unformat (input, "%U/%d", unformat_ip4_address, a->addr, &a->len))
     {
@@ -17220,7 +16807,7 @@ api_lisp_gpe_add_del_fwd_entry (vat_main_t * vam)
   vl_api_gpe_locator_t *rmt_locs = 0, *lcl_locs = 0, rloc, *curr_rloc = 0;
   int ret;
 
-  memset (&rloc, 0, sizeof (rloc));
+  clib_memset (&rloc, 0, sizeof (rloc));
 
   /* Parse args required to build the message */
   while (unformat_check_input (input) != UNFORMAT_END_OF_INPUT)
@@ -18327,7 +17914,7 @@ api_one_use_petr (vat_main_t * vam)
   ip_address_t ip;
   int ret;
 
-  memset (&ip, 0, sizeof (ip));
+  clib_memset (&ip, 0, sizeof (ip));
 
   /* Parse args required to build the message */
   while (unformat_check_input (input) != UNFORMAT_END_OF_INPUT)
@@ -18523,7 +18110,7 @@ api_one_add_del_remote_mapping (vat_main_t * vam)
   vl_api_remote_locator_t *rlocs = 0, rloc, *curr_rloc = 0;
   int ret;
 
-  memset (&rloc, 0, sizeof (rloc));
+  clib_memset (&rloc, 0, sizeof (rloc));
 
   /* Parse args required to build the message */
   while (unformat_check_input (input) != UNFORMAT_END_OF_INPUT)
@@ -19117,7 +18704,7 @@ api_one_add_del_map_request_itr_rlocs (vat_main_t * vam)
     }
   else
     {
-      memset (mp->locator_set_name, 0, sizeof (mp->locator_set_name));
+      clib_memset (mp->locator_set_name, 0, sizeof (mp->locator_set_name));
     }
   vec_free (locator_set_name);
 
@@ -19629,8 +19216,8 @@ api_gpe_add_del_native_fwd_rpath (vat_main_t * vam)
   struct in6_addr ip6;
   u32 table_id = 0, nh_sw_if_index = ~0;
 
-  memset (&ip4, 0, sizeof (ip4));
-  memset (&ip6, 0, sizeof (ip6));
+  clib_memset (&ip4, 0, sizeof (ip4));
+  clib_memset (&ip6, 0, sizeof (ip6));
 
   while (unformat_check_input (i) != UNFORMAT_END_OF_INPUT)
     {
@@ -19872,7 +19459,7 @@ api_af_packet_create (vat_main_t * vam)
   u8 random_hw_addr = 1;
   int ret;
 
-  memset (hw_addr, 0, sizeof (hw_addr));
+  clib_memset (hw_addr, 0, sizeof (hw_addr));
 
   while (unformat_check_input (i) != UNFORMAT_END_OF_INPUT)
     {
@@ -20238,7 +19825,7 @@ api_netmap_create (vat_main_t * vam)
   u8 is_master = 0;
   int ret;
 
-  memset (hw_addr, 0, sizeof (hw_addr));
+  clib_memset (hw_addr, 0, sizeof (hw_addr));
 
   while (unformat_check_input (i) != UNFORMAT_END_OF_INPUT)
     {
@@ -20327,14 +19914,14 @@ vl_api_mpls_fib_path_print (vat_main_t * vam, vl_api_fib_path_t * fp)
     print (vam->ofp,
           "  weight %d, sw_if_index %d, is_local %d, is_drop %d, "
           "is_unreach %d, is_prohitbit %d, afi %d, next_hop %U",
-          ntohl (fp->weight), ntohl (fp->sw_if_index), fp->is_local,
+          fp->weight, ntohl (fp->sw_if_index), fp->is_local,
           fp->is_drop, fp->is_unreach, fp->is_prohibit, fp->afi,
           format_ip6_address, fp->next_hop);
   else if (fp->afi == IP46_TYPE_IP4)
     print (vam->ofp,
           "  weight %d, sw_if_index %d, is_local %d, is_drop %d, "
           "is_unreach %d, is_prohitbit %d, afi %d, next_hop %U",
-          ntohl (fp->weight), ntohl (fp->sw_if_index), fp->is_local,
+          fp->weight, ntohl (fp->sw_if_index), fp->is_local,
           fp->is_drop, fp->is_unreach, fp->is_prohibit, fp->afi,
           format_ip4_address, fp->next_hop);
 }
@@ -21671,10 +21258,10 @@ api_ipsec_gre_add_del_tunnel (vat_main_t * vam)
 }
 
 static int
-api_punt (vat_main_t * vam)
+api_set_punt (vat_main_t * vam)
 {
   unformat_input_t *i = vam->input;
-  vl_api_punt_t *mp;
+  vl_api_set_punt_t *mp;
   u32 ipv = ~0;
   u32 protocol = ~0;
   u32 port = ~0;
@@ -21698,12 +21285,12 @@ api_punt (vat_main_t * vam)
        }
     }
 
-  M (PUNT, mp);
+  M (SET_PUNT, mp);
 
   mp->is_add = (u8) is_add;
-  mp->ipv = (u8) ipv;
-  mp->l4_protocol = (u8) protocol;
-  mp->l4_port = htons ((u16) port);
+  mp->punt.ipv = (u8) ipv;
+  mp->punt.l4_protocol = (u8) protocol;
+  mp->punt.l4_port = htons ((u16) port);
 
   S (mp);
   W (ret);
@@ -21845,8 +21432,8 @@ api_l2_interface_pbb_tag_rewrite (vat_main_t * vam)
   int ret;
 
   /* Shut up coverity */
-  memset (dmac, 0, sizeof (dmac));
-  memset (smac, 0, sizeof (smac));
+  clib_memset (dmac, 0, sizeof (dmac));
+  clib_memset (smac, 0, sizeof (smac));
 
   while (unformat_check_input (i) != UNFORMAT_END_OF_INPUT)
     {
@@ -22224,7 +21811,7 @@ api_p2p_ethernet_add (vat_main_t * vam)
   u8 mac_set = 0;
   int ret;
 
-  memset (remote_mac, 0, sizeof (remote_mac));
+  clib_memset (remote_mac, 0, sizeof (remote_mac));
   while (unformat_check_input (i) != UNFORMAT_END_OF_INPUT)
     {
       if (unformat (i, "%U", api_unformat_sw_if_index, vam, &parent_if_index))
@@ -22280,7 +21867,7 @@ api_p2p_ethernet_del (vat_main_t * vam)
   u8 mac_set = 0;
   int ret;
 
-  memset (remote_mac, 0, sizeof (remote_mac));
+  clib_memset (remote_mac, 0, sizeof (remote_mac));
   while (unformat_check_input (i) != UNFORMAT_END_OF_INPUT)
     {
       if (unformat (i, "%U", api_unformat_sw_if_index, vam, &parent_if_index))
@@ -22368,8 +21955,8 @@ api_sw_interface_set_lldp (vat_main_t * vam)
   ip6_address_t ip6_addr;
   int ret;
 
-  memset (&ip4_addr, 0, sizeof (ip4_addr));
-  memset (&ip6_addr, 0, sizeof (ip6_addr));
+  clib_memset (&ip4_addr, 0, sizeof (ip4_addr));
+  clib_memset (&ip6_addr, 0, sizeof (ip6_addr));
 
   while (unformat_check_input (i) != UNFORMAT_END_OF_INPUT)
     {
@@ -23603,8 +23190,8 @@ _(ip_table_add_del,                                                     \
 _(ip_add_del_route,                                                     \
   "<addr>/<mask> via <<addr>|<intfc>|sw_if_index <id>|via-label <n>>\n" \
   "[table-id <n>] [<intfc> | sw_if_index <id>] [resolve-attempts <n>]\n"\
-  "[weight <n>] [drop] [local] [classify <n>] [del]\n"                  \
-  "[multipath] [count <n>]")                                            \
+  "[weight <n>] [drop] [local] [classify <n>]  [out-label <n>]\n"       \
+  "[multipath] [count <n>] [del]")                                      \
 _(ip_mroute_add_del,                                                    \
   "<src> <grp>/<mask> [table-id <n>]\n"                                 \
   "[<intfc> | sw_if_index <id>] [local] [del]")                         \
@@ -23615,12 +23202,14 @@ _(mpls_route_add_del,                                                   \
   "lookup-ip4-table <n> | lookup-in-ip6-table <n> |\n"                  \
   "l2-input-on <intfc> | l2-input-on sw_if_index <id>>\n"               \
   "[<intfc> | sw_if_index <id>] [resolve-attempts <n>] [weight <n>]\n"  \
-  "[drop] [local] [classify <n>] [multipath] [count <n>] [del]")        \
+  "[drop] [local] [classify <n>] [out-label <n>] [multipath]\n"         \
+  "[count <n>] [del]")                                                  \
 _(mpls_ip_bind_unbind,                                                  \
   "<label> <addr/len>")                                                 \
 _(mpls_tunnel_add_del,                                                  \
-  " via <addr> [table-id <n>]\n"                                        \
-  "sw_if_index <id>] [l2]  [del]")                                      \
+  "[add | del <intfc | sw_if_index <id>>] via <addr | via-label <n>>\n" \
+  "[<intfc> | sw_if_index <id> | next-hop-table <id>]\n"                \
+  "[l2-only]  [out-label <n>]")                                         \
 _(sr_mpls_policy_add,                                                   \
   "bsid <id> [weight <n>] [spray] next <sid> [next <sid>]")             \
 _(sr_mpls_policy_del,                                                   \
@@ -23659,8 +23248,6 @@ _(set_ip_flow_hash,                                                     \
   "vrf <n> [src] [dst] [sport] [dport] [proto] [reverse] [ipv6]")       \
 _(sw_interface_ip6_enable_disable,                                      \
   "<intfc> | sw_if_index <id> enable | disable")                        \
-_(sw_interface_ip6_set_link_local_address,                              \
-  "<intfc> | sw_if_index <id> <ip6-address>/<mask-width>")              \
 _(ip6nd_proxy_add_del,                                                  \
   "<intfc> | sw_if_index <id> <ip6-address>")                           \
 _(ip6nd_proxy_dump, "")                                                 \
@@ -23741,6 +23328,7 @@ _(modify_vhost_user_if,                                                 \
 _(delete_vhost_user_if, "<intfc> | sw_if_index <nn>")                   \
 _(sw_interface_vhost_user_dump, "")                                     \
 _(show_version, "")                                                     \
+_(show_threads, "")                                                     \
 _(vxlan_gpe_add_del_tunnel,                                             \
   "local <addr> remote <addr>  | group <mcast-ip-addr>\n"               \
   "{ <intfc> | mcast_sw_if_index <nn> } }\n"                            \
@@ -23800,9 +23388,9 @@ _(ikev2_initiate_del_child_sa, "<ispi>")                                \
 _(ikev2_initiate_rekey_child_sa, "<ispi>")                              \
 _(delete_loopback,"sw_if_index <nn>")                                   \
 _(bd_ip_mac_add_del, "bd_id <bridge-domain-id> <ip4/6-addr> <mac-addr> [del]") \
-_(bd_ip_mac_dump, "[bd_id] <id>")                                       \
+_(bd_ip_mac_flush, "bd_id <bridge-domain-id>")                          \
+_(bd_ip_mac_dump, "[bd_id] <bridge-domain-id>")                         \
 _(want_interface_events,  "enable|disable")                             \
-_(want_stats,"enable|disable")                                          \
 _(get_first_msg_id, "client <name>")                                   \
 _(cop_interface_enable_disable, "<intfc> | sw_if_index <nn> [disable]") \
 _(cop_whitelist_enable_disable, "<intfc> | sw_if_index <nn>\n"         \
@@ -23978,7 +23566,7 @@ _(l2_interface_pbb_tag_rewrite,                                         \
   "<intfc> | sw_if_index <nn> \n"                                       \
   "[disable | push | pop | translate_pbb_stag <outer_tag>] \n"          \
   "dmac <mac> smac <mac> sid <nn> [vlanid <nn>]")                       \
-_(punt, "protocol <l4-protocol> [ip <ver>] [port <l4-port>] [del]")     \
+_(set_punt, "protocol <l4-protocol> [ip <ver>] [port <l4-port>] [del]")     \
 _(flow_classify_set_interface,                                          \
   "<intfc> | sw_if_index <nn> [ip4-table <nn>] [ip6-table <nn>] [del]") \
 _(flow_classify_dump, "type [ip4|ip6]")                                 \
@@ -24024,7 +23612,6 @@ _(dump_interface_table, "usage: dump_interface_table")          \
 _(dump_sub_interface_table, "usage: dump_sub_interface_table")  \
 _(dump_ipv4_table, "usage: dump_ipv4_table")                    \
 _(dump_ipv6_table, "usage: dump_ipv6_table")                    \
-_(dump_stats_table, "usage: dump_stats_table")                  \
 _(dump_macro_table, "usage: dump_macro_table ")                 \
 _(dump_node_table, "usage: dump_node_table")                   \
 _(dump_msg_api_table, "usage: dump_msg_api_table")             \