session: rules tables
[vpp.git] / src / vnet / tcp / tcp_input.c
index 66e2b88..916d7ea 100644 (file)
@@ -248,8 +248,8 @@ tcp_update_timestamp (tcp_connection_t * tc, u32 seq, u32 seq_end)
    * then the TSval from the segment is copied to TS.Recent;
    * otherwise, the TSval is ignored.
    */
-  if (tcp_opts_tstamp (&tc->rcv_opts) && tc->tsval_recent
-      && seq_leq (seq, tc->rcv_las) && seq_leq (tc->rcv_las, seq_end))
+  if (tcp_opts_tstamp (&tc->rcv_opts) && seq_leq (seq, tc->rcv_las)
+      && seq_leq (tc->rcv_las, seq_end))
     {
       ASSERT (timestamp_leq (tc->tsval_recent, tc->rcv_opts.tsval));
       tc->tsval_recent = tc->rcv_opts.tsval;
@@ -275,6 +275,7 @@ tcp_segment_validate (vlib_main_t * vm, tcp_connection_t * tc0,
 
   if (PREDICT_FALSE (tcp_options_parse (th0, &tc0->rcv_opts)))
     {
+      clib_warning ("options parse error");
       return -1;
     }
 
@@ -350,9 +351,17 @@ tcp_segment_validate (vlib_main_t * vm, tcp_connection_t * tc0,
   if (tcp_syn (th0))
     {
       /* TODO implement RFC 5961 */
-      tcp_make_ack (tc0, b0);
+      if (tc0->state == TCP_STATE_SYN_RCVD)
+       {
+         tcp_make_synack (tc0, b0);
+         TCP_EVT_DBG (TCP_EVT_SYN_RCVD, tc0, 0);
+       }
+      else
+       {
+         tcp_make_ack (tc0, b0);
+         TCP_EVT_DBG (TCP_EVT_SYNACK_RCVD, tc0);
+       }
       *next0 = tcp_next_output (tc0->c_is_ip4);
-      TCP_EVT_DBG (TCP_EVT_SYN_RCVD, tc0);
       return -1;
     }
 
@@ -409,51 +418,53 @@ tcp_update_rto (tcp_connection_t * tc)
   tc->rto = clib_max (tc->rto, TCP_RTO_MIN);
 }
 
-/** Update RTT estimate and RTO timer
+/**
+ * Update RTT estimate and RTO timer
  *
  * Measure RTT: We have two sources of RTT measurements: TSOPT and ACK
  * timing. Middle boxes are known to fiddle with TCP options so we
  * should give higher priority to ACK timing.
  *
+ * This should be called only if previously sent bytes have been acked.
+ *
  * return 1 if valid rtt 0 otherwise
  */
 static int
 tcp_update_rtt (tcp_connection_t * tc, u32 ack)
 {
   u32 mrtt = 0;
-  u8 rtx_acked;
-
-  /* Determine if only rtx bytes are acked. */
-  rtx_acked = tcp_in_cong_recovery (tc) || !tc->bytes_acked;
 
   /* Karn's rule, part 1. Don't use retransmitted segments to estimate
    * RTT because they're ambiguous. */
-  if (tc->rtt_ts && seq_geq (ack, tc->rtt_seq) && !rtx_acked)
+  if (tcp_in_cong_recovery (tc) || tc->sack_sb.sacked_bytes)
+    goto done;
+
+  if (tc->rtt_ts && seq_geq (ack, tc->rtt_seq))
     {
       mrtt = tcp_time_now () - tc->rtt_ts;
     }
   /* As per RFC7323 TSecr can be used for RTTM only if the segment advances
    * snd_una, i.e., the left side of the send window:
-   * seq_lt (tc->snd_una, ack). */
-  else if (tcp_opts_tstamp (&tc->rcv_opts) && tc->rcv_opts.tsecr
-          && tc->bytes_acked)
+   * seq_lt (tc->snd_una, ack). This is a condition for calling update_rtt */
+  else if (tcp_opts_tstamp (&tc->rcv_opts) && tc->rcv_opts.tsecr)
     {
       mrtt = tcp_time_now () - tc->rcv_opts.tsecr;
     }
 
-  /* Allow measuring of a new RTT */
-  tc->rtt_ts = 0;
-
-  /* If ACK moves left side of the wnd make sure boff is 0, even if mrtt is
-   * not valid */
-  if (tc->bytes_acked)
-    tc->rto_boff = 0;
-
   /* Ignore dubious measurements */
   if (mrtt == 0 || mrtt > TCP_RTT_MAX)
-    return 0;
+    goto done;
 
   tcp_estimate_rtt (tc, mrtt);
+
+done:
+
+  /* Allow measuring of a new RTT */
+  tc->rtt_ts = 0;
+
+  /* If we got here something must've been ACKed so make sure boff is 0,
+   * even if mrrt is not valid since we update the rto lower */
+  tc->rto_boff = 0;
   tcp_update_rto (tc);
 
   return 0;
@@ -923,10 +934,12 @@ static void
 tcp_cc_recovery_exit (tcp_connection_t * tc)
 {
   /* Deflate rto */
-  tcp_update_rto (tc);
   tc->rto_boff = 0;
+  tcp_update_rto (tc);
   tc->snd_rxt_ts = 0;
+  tc->snd_nxt = tc->snd_una_max;
   tcp_recovery_off (tc);
+  TCP_EVT_DBG (TCP_EVT_CC_EVT, tc, 3);
 }
 
 void
@@ -935,8 +948,10 @@ tcp_cc_fastrecovery_exit (tcp_connection_t * tc)
   tc->cc_algo->recovered (tc);
   tc->snd_rxt_bytes = 0;
   tc->rcv_dupacks = 0;
+  tc->snd_nxt = tc->snd_una_max;
   tcp_fastrecovery_off (tc);
   tcp_fastrecovery_1_smss_off (tc);
+  TCP_EVT_DBG (TCP_EVT_CC_EVT, tc, 3);
 }
 
 static void
@@ -949,13 +964,14 @@ tcp_cc_congestion_undo (tcp_connection_t * tc)
   if (tcp_in_recovery (tc))
     tcp_cc_recovery_exit (tc);
   ASSERT (tc->rto_boff == 0);
+  TCP_EVT_DBG (TCP_EVT_CC_EVT, tc, 5);
   /* TODO extend for fastrecovery */
 }
 
 static u8
 tcp_cc_is_spurious_retransmit (tcp_connection_t * tc)
 {
-  return (tcp_in_recovery (tc)
+  return (tcp_in_recovery (tc) && tc->rto_boff == 1
          && tc->snd_rxt_ts
          && tcp_opts_tstamp (&tc->rcv_opts)
          && timestamp_lt (tc->rcv_opts.tsecr, tc->snd_rxt_ts));
@@ -979,7 +995,6 @@ tcp_cc_recover (tcp_connection_t * tc)
   ASSERT (tc->rto_boff == 0);
   ASSERT (!tcp_in_cong_recovery (tc));
   ASSERT (tcp_scoreboard_is_sane_post_recovery (tc));
-  TCP_EVT_DBG (TCP_EVT_CC_EVT, tc, 3);
   return 0;
 }
 
@@ -1373,8 +1388,8 @@ tcp_session_enqueue_data (tcp_connection_t * tc, vlib_buffer_t * b,
       return TCP_ERROR_PURE_ACK;
     }
 
-  written = stream_session_enqueue_data (&tc->connection, b, 0,
-                                        1 /* queue event */ , 1);
+  written = session_enqueue_stream_connection (&tc->connection, b, 0,
+                                              1 /* queue event */ , 1);
 
   TCP_EVT_DBG (TCP_EVT_INPUT, tc, 0, data_len, written);
 
@@ -1435,9 +1450,10 @@ tcp_session_enqueue_ooo (tcp_connection_t * tc, vlib_buffer_t * b,
     }
 
   /* Enqueue out-of-order data with relative offset */
-  rv = stream_session_enqueue_data (&tc->connection, b,
-                                   vnet_buffer (b)->tcp.seq_number -
-                                   tc->rcv_nxt, 0 /* queue event */ , 0);
+  rv = session_enqueue_stream_connection (&tc->connection, b,
+                                         vnet_buffer (b)->tcp.seq_number -
+                                         tc->rcv_nxt, 0 /* queue event */ ,
+                                         0);
 
   /* Nothing written */
   if (rv)
@@ -1454,7 +1470,7 @@ tcp_session_enqueue_ooo (tcp_connection_t * tc, vlib_buffer_t * b,
       ooo_segment_t *newest;
       u32 start, end;
 
-      s0 = stream_session_get (tc->c_s_index, tc->c_thread_index);
+      s0 = session_get (tc->c_s_index, tc->c_thread_index);
 
       /* Get the newest segment from the fifo */
       newest = svm_fifo_newest_ooo_segment (s0->server_rx_fifo);
@@ -1613,7 +1629,7 @@ format_tcp_rx_trace (u8 * s, va_list * args)
   CLIB_UNUSED (vlib_main_t * vm) = va_arg (*args, vlib_main_t *);
   CLIB_UNUSED (vlib_node_t * node) = va_arg (*args, vlib_node_t *);
   tcp_rx_trace_t *t = va_arg (*args, tcp_rx_trace_t *);
-  uword indent = format_get_indent (s);
+  u32 indent = format_get_indent (s);
 
   s = format (s, "%U\n%U%U",
              format_tcp_header, &t->tcp_header, 128,
@@ -1654,15 +1670,16 @@ tcp_set_rx_trace_data (tcp_rx_trace_t * t0, tcp_connection_t * tc0,
 }
 
 always_inline void
-tcp_established_inc_counter (vlib_main_t * vm, u8 is_ip4, u8 evt, u8 val)
+tcp_node_inc_counter (vlib_main_t * vm, u32 tcp4_node, u32 tcp6_node,
+                     u8 is_ip4, u8 evt, u8 val)
 {
   if (PREDICT_TRUE (!val))
     return;
 
   if (is_ip4)
-    vlib_node_increment_counter (vm, tcp4_established_node.index, evt, val);
+    vlib_node_increment_counter (vm, tcp4_node, evt, val);
   else
-    vlib_node_increment_counter (vm, tcp6_established_node.index, evt, val);
+    vlib_node_increment_counter (vm, tcp6_node, evt, val);
 }
 
 always_inline uword
@@ -1743,18 +1760,17 @@ tcp46_established_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
          /* 8: check the FIN bit */
          if (PREDICT_FALSE (is_fin))
            {
-             /* Enter CLOSE-WAIT and notify session. Don't send ACK, instead
-              * wait for session to call close. To avoid lingering
+             /* Enter CLOSE-WAIT and notify session. To avoid lingering
               * in CLOSE-WAIT, set timer (reuse WAITCLOSE). */
-             tc0->state = TCP_STATE_CLOSE_WAIT;
-             TCP_EVT_DBG (TCP_EVT_FIN_RCVD, tc0);
+             /* Account for the FIN if nothing else was received */
              if (vnet_buffer (b0)->tcp.data_len == 0)
-               {
-                 tc0->rcv_nxt += 1;
-                 next0 = TCP_ESTABLISHED_NEXT_DROP;
-               }
+               tc0->rcv_nxt += 1;
+             tcp_make_ack (tc0, b0);
+             next0 = tcp_next_output (tc0->c_is_ip4);
+             tc0->state = TCP_STATE_CLOSE_WAIT;
              stream_session_disconnect_notify (&tc0->connection);
              tcp_timer_update (tc0, TCP_TIMER_WAITCLOSE, TCP_CLOSEWAIT_TIME);
+             TCP_EVT_DBG (TCP_EVT_FIN_RCVD, tc0);
            }
 
        done:
@@ -1773,8 +1789,11 @@ tcp46_established_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
       vlib_put_next_frame (vm, node, next_index, n_left_to_next);
     }
 
-  errors = session_manager_flush_enqueue_events (my_thread_index);
-  tcp_established_inc_counter (vm, is_ip4, TCP_ERROR_EVENT_FIFO_FULL, errors);
+  errors = session_manager_flush_enqueue_events (TRANSPORT_PROTO_TCP,
+                                                my_thread_index);
+  tcp_node_inc_counter (vm, is_ip4, tcp4_established_node.index,
+                       tcp6_established_node.index,
+                       TCP_ERROR_EVENT_FIFO_FULL, errors);
   tcp_flush_frame_to_output (vm, my_thread_index, is_ip4);
 
   return from_frame->n_vectors;
@@ -1842,6 +1861,80 @@ VLIB_NODE_FUNCTION_MULTIARCH (tcp6_established_node, tcp6_established);
 vlib_node_registration_t tcp4_syn_sent_node;
 vlib_node_registration_t tcp6_syn_sent_node;
 
+static u8
+tcp_lookup_is_valid (tcp_connection_t * tc, tcp_header_t * hdr)
+{
+  transport_connection_t *tmp = 0;
+  u64 handle;
+
+  if (!tc)
+    return 1;
+
+  u8 is_valid = (tc->c_lcl_port == hdr->dst_port
+                && (tc->state == TCP_STATE_LISTEN
+                    || tc->c_rmt_port == hdr->src_port));
+
+  if (!is_valid)
+    {
+      handle = session_lookup_half_open_handle (&tc->connection);
+      tmp = session_lookup_half_open_connection (handle & 0xFFFFFFFF,
+                                                tc->c_proto, tc->c_is_ip4);
+
+      if (tmp)
+       {
+         if (tmp->lcl_port == hdr->dst_port
+             && tmp->rmt_port == hdr->src_port)
+           {
+             TCP_DBG ("half-open is valid!");
+           }
+       }
+    }
+  return is_valid;
+}
+
+/**
+ * Lookup transport connection
+ */
+static tcp_connection_t *
+tcp_lookup_connection (u32 fib_index, vlib_buffer_t * b, u8 thread_index,
+                      u8 is_ip4)
+{
+  tcp_header_t *tcp;
+  transport_connection_t *tconn;
+  tcp_connection_t *tc;
+  if (is_ip4)
+    {
+      ip4_header_t *ip4;
+      ip4 = vlib_buffer_get_current (b);
+      tcp = ip4_next_header (ip4);
+      tconn = session_lookup_connection_wt4 (fib_index,
+                                            &ip4->dst_address,
+                                            &ip4->src_address,
+                                            tcp->dst_port,
+                                            tcp->src_port,
+                                            TRANSPORT_PROTO_TCP,
+                                            thread_index);
+      tc = tcp_get_connection_from_transport (tconn);
+      ASSERT (tcp_lookup_is_valid (tc, tcp));
+    }
+  else
+    {
+      ip6_header_t *ip6;
+      ip6 = vlib_buffer_get_current (b);
+      tcp = ip6_next_header (ip6);
+      tconn = session_lookup_connection_wt6 (fib_index,
+                                            &ip6->dst_address,
+                                            &ip6->src_address,
+                                            tcp->dst_port,
+                                            tcp->src_port,
+                                            TRANSPORT_PROTO_TCP,
+                                            thread_index);
+      tc = tcp_get_connection_from_transport (tconn);
+      ASSERT (tcp_lookup_is_valid (tc, tcp));
+    }
+  return tc;
+}
+
 always_inline uword
 tcp46_syn_sent_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
                       vlib_frame_t * from_frame, int is_ip4)
@@ -1888,10 +1981,26 @@ tcp46_syn_sent_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
              goto drop;
            }
 
+         /* Half-open completed recently but the connection was't removed
+          * yet by the owning thread */
+         if (PREDICT_FALSE (tc0->flags & TCP_CONN_HALF_OPEN_DONE))
+           {
+             /* Make sure the connection actually exists */
+             ASSERT (tcp_lookup_connection (tc0->c_fib_index, b0,
+                                            my_thread_index, is_ip4));
+             goto drop;
+           }
+
          ack0 = vnet_buffer (b0)->tcp.ack_number;
          seq0 = vnet_buffer (b0)->tcp.seq_number;
          tcp0 = tcp_buffer_hdr (b0);
 
+         /* Crude check to see if the connection handle does not match
+          * the packet. Probably connection just switched to established */
+         if (PREDICT_FALSE (tcp0->dst_port != tc0->c_lcl_port
+                            || tcp0->src_port != tc0->c_rmt_port))
+           goto drop;
+
          if (PREDICT_FALSE
              (!tcp_ack (tcp0) && !tcp_rst (tcp0) && !tcp_syn (tcp0)))
            goto drop;
@@ -1914,16 +2023,20 @@ tcp46_syn_sent_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
           */
          if (tcp_ack (tcp0))
            {
-             if (ack0 <= tc0->iss || ack0 > tc0->snd_nxt)
+             if (seq_leq (ack0, tc0->iss) || seq_gt (ack0, tc0->snd_nxt))
                {
+                 clib_warning ("ack not in rcv wnd");
                  if (!tcp_rst (tcp0))
                    tcp_send_reset_w_pkt (tc0, b0, is_ip4);
                  goto drop;
                }
 
              /* Make sure ACK is valid */
-             if (tc0->snd_una > ack0)
-               goto drop;
+             if (seq_gt (tc0->snd_una, ack0))
+               {
+                 clib_warning ("ack invalid");
+                 goto drop;
+               }
            }
 
          /*
@@ -1949,11 +2062,17 @@ tcp46_syn_sent_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
 
          /* No SYN flag. Drop. */
          if (!tcp_syn (tcp0))
-           goto drop;
+           {
+             clib_warning ("not synack");
+             goto drop;
+           }
 
          /* Parse options */
          if (tcp_options_parse (tcp0, &tc0->rcv_opts))
-           goto drop;
+           {
+             clib_warning ("options parse fail");
+             goto drop;
+           }
 
          /* Valid SYN or SYN-ACK. Move connection from half-open pool to
           * current thread pool. */
@@ -1981,8 +2100,8 @@ tcp46_syn_sent_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
          if (tcp_opts_wscale (&new_tc0->rcv_opts))
            new_tc0->snd_wscale = new_tc0->rcv_opts.wscale;
 
-         new_tc0->snd_wnd = clib_net_to_host_u16 (tcp0->window)
-           << new_tc0->snd_wscale;
+         /* RFC1323: SYN and SYN-ACK wnd not scaled */
+         new_tc0->snd_wnd = clib_net_to_host_u16 (tcp0->window);
          new_tc0->snd_wl1 = seq0;
          new_tc0->snd_wl2 = ack0;
 
@@ -2002,8 +2121,9 @@ tcp46_syn_sent_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
 
              /* Notify app that we have connection. If session layer can't
               * allocate session send reset */
-             if (stream_session_connect_notify (&new_tc0->connection, 0))
+             if (session_stream_connect_notify (&new_tc0->connection, 0))
                {
+                 clib_warning ("connect notify fail");
                  tcp_send_reset_w_pkt (new_tc0, b0, is_ip4);
                  tcp_connection_cleanup (new_tc0);
                  goto drop;
@@ -2013,7 +2133,6 @@ tcp46_syn_sent_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
              new_tc0->flags |= TCP_CONN_SNDACK;
 
              /* Update rtt with the syn-ack sample */
-             new_tc0->bytes_acked = 1;
              tcp_update_rtt (new_tc0, vnet_buffer (b0)->tcp.ack_number);
              TCP_EVT_DBG (TCP_EVT_SYNACK_RCVD, new_tc0);
            }
@@ -2023,7 +2142,7 @@ tcp46_syn_sent_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
              new_tc0->state = TCP_STATE_SYN_RCVD;
 
              /* Notify app that we have connection */
-             if (stream_session_connect_notify (&new_tc0->connection, 0))
+             if (session_stream_connect_notify (&new_tc0->connection, 0))
                {
                  tcp_connection_cleanup (new_tc0);
                  tcp_send_reset_w_pkt (tc0, b0, is_ip4);
@@ -2032,6 +2151,7 @@ tcp46_syn_sent_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
                }
 
              tc0->rtt_ts = 0;
+             tcp_init_snd_vars (tc0);
              tcp_make_synack (new_tc0, b0);
              next0 = tcp_next_output (is_ip4);
 
@@ -2055,7 +2175,8 @@ tcp46_syn_sent_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
        drop:
 
          b0->error = error0 ? node->errors[error0] : 0;
-         if (PREDICT_FALSE (b0->flags & VLIB_BUFFER_IS_TRACED))
+         if (PREDICT_FALSE
+             ((b0->flags & VLIB_BUFFER_IS_TRACED) && tcp0 != 0))
            {
              t0 = vlib_add_trace (vm, node, b0, sizeof (*t0));
              clib_memcpy (&t0->tcp_header, tcp0, sizeof (t0->tcp_header));
@@ -2070,17 +2191,11 @@ tcp46_syn_sent_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
       vlib_put_next_frame (vm, node, next_index, n_left_to_next);
     }
 
-  errors = session_manager_flush_enqueue_events (my_thread_index);
-  if (errors)
-    {
-      if (is_ip4)
-       vlib_node_increment_counter (vm, tcp4_established_node.index,
-                                    TCP_ERROR_EVENT_FIFO_FULL, errors);
-      else
-       vlib_node_increment_counter (vm, tcp6_established_node.index,
-                                    TCP_ERROR_EVENT_FIFO_FULL, errors);
-    }
-
+  errors = session_manager_flush_enqueue_events (TRANSPORT_PROTO_TCP,
+                                                my_thread_index);
+  tcp_node_inc_counter (vm, is_ip4, tcp4_syn_sent_node.index,
+                       tcp6_syn_sent_node.index,
+                       TCP_ERROR_EVENT_FIFO_FULL, errors);
   return from_frame->n_vectors;
 }
 
@@ -2142,6 +2257,9 @@ VLIB_REGISTER_NODE (tcp6_syn_sent_node) =
 
 VLIB_NODE_FUNCTION_MULTIARCH (tcp6_syn_sent_node, tcp6_syn_sent_rcv);
 
+vlib_node_registration_t tcp4_rcv_process_node;
+vlib_node_registration_t tcp6_rcv_process_node;
+
 /**
  * Handles reception for all states except LISTEN, SYN-SENT and ESTABLISHED
  * as per RFC793 p. 64
@@ -2172,6 +2290,7 @@ tcp46_rcv_process_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
          tcp_header_t *tcp0 = 0;
          tcp_connection_t *tc0;
          u32 next0 = TCP_RCV_PROCESS_NEXT_DROP, error0 = TCP_ERROR_ENQUEUED;
+         u8 is_fin0;
 
          bi0 = from[0];
          to_next[0] = bi0;
@@ -2190,11 +2309,25 @@ tcp46_rcv_process_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
            }
 
          tcp0 = tcp_buffer_hdr (b0);
+         is_fin0 = tcp_is_fin (tcp0);
 
          /* SYNs, FINs and data consume sequence numbers */
          vnet_buffer (b0)->tcp.seq_end = vnet_buffer (b0)->tcp.seq_number
-           + tcp_is_syn (tcp0) + tcp_is_fin (tcp0)
-           + vnet_buffer (b0)->tcp.data_len;
+           + tcp_is_syn (tcp0) + is_fin0 + vnet_buffer (b0)->tcp.data_len;
+
+         if (CLIB_DEBUG)
+           {
+             tcp_connection_t *tmp;
+             tmp =
+               tcp_lookup_connection (tc0->c_fib_index, b0, my_thread_index,
+                                      is_ip4);
+             if (tmp->state != tc0->state)
+               {
+                 clib_warning ("state changed");
+                 ASSERT (0);
+                 goto drop;
+               }
+           }
 
          /*
           * Special treatment for CLOSED
@@ -2211,8 +2344,8 @@ tcp46_rcv_process_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
           */
 
          /* 1-4: check SEQ, RST, SYN */
-         if (PREDICT_FALSE
-             (tcp_segment_validate (vm, tc0, b0, tcp0, &next0)))
+         if (PREDICT_FALSE (tcp_segment_validate (vm, tc0, b0, tcp0,
+                                                  &next0)))
            {
              error0 = TCP_ERROR_SEGMENT_INVALID;
              goto drop;
@@ -2230,12 +2363,12 @@ tcp46_rcv_process_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
               */
              if (!tcp_rcv_ack_is_acceptable (tc0, b0))
                {
+                 TCP_DBG ("connection not accepted");
                  tcp_send_reset_w_pkt (tc0, b0, is_ip4);
                  goto drop;
                }
 
              /* Update rtt and rto */
-             tc0->bytes_acked = 1;
              tcp_update_rtt (tc0, vnet_buffer (b0)->tcp.ack_number);
 
              /* Switch state to ESTABLISHED */
@@ -2252,6 +2385,7 @@ tcp46_rcv_process_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
              /* Reset SYN-ACK retransmit and SYN_RCV establish timers */
              tcp_retransmit_timer_reset (tc0);
              tcp_timer_reset (tc0, TCP_TIMER_ESTABLISH);
+             TCP_EVT_DBG (TCP_EVT_STATE_CHANGE, tc0);
              break;
            case TCP_STATE_ESTABLISHED:
              /* We can get packets in established state here because they
@@ -2277,21 +2411,14 @@ tcp46_rcv_process_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
              /* If FIN is ACKed */
              else if (tc0->snd_una == tc0->snd_una_max)
                {
-                 tc0->rcv_nxt += 1;
                  tc0->state = TCP_STATE_FIN_WAIT_2;
                  TCP_EVT_DBG (TCP_EVT_STATE_CHANGE, tc0);
 
-                 if (tcp_fin (tcp0))
-                   {
-                     /* Stop all timers, 2MSL will be set lower */
-                     tcp_connection_timers_reset (tc0);
-                   }
-                 else
-                   {
-                     /* Wait for peer to finish sending its data */
-                     tcp_timer_update (tc0, TCP_TIMER_WAITCLOSE,
-                                       TCP_2MSL_TIME);
-                   }
+                 /* Stop all retransmit timers because we have nothing more
+                  * to send. Enable waitclose though because we're willing to
+                  * wait for peer's FIN but not indefinitely. */
+                 tcp_connection_timers_reset (tc0);
+                 tcp_timer_update (tc0, TCP_TIMER_WAITCLOSE, TCP_2MSL_TIME);
                }
              break;
            case TCP_STATE_FIN_WAIT_2:
@@ -2315,7 +2442,7 @@ tcp46_rcv_process_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
 
              tc0->state = TCP_STATE_TIME_WAIT;
              TCP_EVT_DBG (TCP_EVT_STATE_CHANGE, tc0);
-             tcp_timer_update (tc0, TCP_TIMER_WAITCLOSE, TCP_2MSL_TIME);
+             tcp_timer_update (tc0, TCP_TIMER_WAITCLOSE, TCP_TIMEWAIT_TIME);
              goto drop;
 
              break;
@@ -2325,27 +2452,28 @@ tcp46_rcv_process_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
               * delete the TCB, enter the CLOSED state, and return. */
 
              if (!tcp_rcv_ack_is_acceptable (tc0, b0))
-               goto drop;
+               {
+                 error0 = TCP_ERROR_ACK_INVALID;
+                 goto drop;
+               }
 
-             /* Apparently our FIN was lost */
-             if (tcp_fin (tcp0))
+             tc0->snd_una = vnet_buffer (b0)->tcp.ack_number;
+             /* Apparently our ACK for the peer's FIN was lost */
+             if (is_fin0 && tc0->snd_una != tc0->snd_una_max)
                {
-                 /* Don't "make" fin since that increments snd_nxt */
                  tcp_send_fin (tc0);
                  goto drop;
                }
 
              tc0->state = TCP_STATE_CLOSED;
              TCP_EVT_DBG (TCP_EVT_STATE_CHANGE, tc0);
+             tcp_connection_timers_reset (tc0);
 
              /* Don't delete the connection/session yet. Instead, wait a
               * reasonable amount of time until the pipes are cleared. In
               * particular, this makes sure that we won't have dead sessions
               * when processing events on the tx path */
-             tcp_timer_update (tc0, TCP_TIMER_WAITCLOSE, TCP_CLEANUP_TIME);
-
-             /* Stop retransmit */
-             tcp_retransmit_timer_reset (tc0);
+             tcp_timer_set (tc0, TCP_TIMER_WAITCLOSE, TCP_CLEANUP_TIME);
 
              goto drop;
 
@@ -2359,8 +2487,8 @@ tcp46_rcv_process_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
                goto drop;
 
              tcp_make_ack (tc0, b0);
-             tcp_timer_reset (tc0, TCP_TIMER_WAITCLOSE);
-             tcp_timer_set (tc0, TCP_TIMER_WAITCLOSE, TCP_2MSL_TIME);
+             next0 = tcp_next_output (is_ip4);
+             tcp_timer_update (tc0, TCP_TIMER_WAITCLOSE, TCP_TIMEWAIT_TIME);
 
              goto drop;
 
@@ -2379,6 +2507,8 @@ tcp46_rcv_process_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
            case TCP_STATE_FIN_WAIT_2:
              if (vnet_buffer (b0)->tcp.data_len)
                error0 = tcp_segment_rcv (tm, tc0, b0, &next0);
+             else if (is_fin0)
+               tc0->rcv_nxt += 1;
              break;
            case TCP_STATE_CLOSE_WAIT:
            case TCP_STATE_CLOSING:
@@ -2390,7 +2520,7 @@ tcp46_rcv_process_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
            }
 
          /* 8: check the FIN bit */
-         if (!tcp_fin (tcp0))
+         if (!is_fin0)
            goto drop;
 
          switch (tc0->state)
@@ -2400,6 +2530,7 @@ tcp46_rcv_process_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
              /* Send FIN-ACK notify app and enter CLOSE-WAIT */
              tcp_connection_timers_reset (tc0);
              tcp_make_fin (tc0, b0);
+             tc0->snd_nxt += 1;
              next0 = tcp_next_output (tc0->c_is_ip4);
              stream_session_disconnect_notify (&tc0->connection);
              tc0->state = TCP_STATE_CLOSE_WAIT;
@@ -2419,19 +2550,19 @@ tcp46_rcv_process_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
              tcp_timer_update (tc0, TCP_TIMER_WAITCLOSE, TCP_2MSL_TIME);
              break;
            case TCP_STATE_FIN_WAIT_2:
-             /* Got FIN, send ACK! */
+             /* Got FIN, send ACK! Be more aggressive with resource cleanup */
              tc0->state = TCP_STATE_TIME_WAIT;
              tcp_connection_timers_reset (tc0);
-             tcp_timer_update (tc0, TCP_TIMER_WAITCLOSE, TCP_CLOSEWAIT_TIME);
+             tcp_timer_update (tc0, TCP_TIMER_WAITCLOSE, TCP_TIMEWAIT_TIME);
              tcp_make_ack (tc0, b0);
              next0 = tcp_next_output (is_ip4);
              TCP_EVT_DBG (TCP_EVT_STATE_CHANGE, tc0);
              break;
            case TCP_STATE_TIME_WAIT:
-             /* Remain in the TIME-WAIT state. Restart the 2 MSL time-wait
+             /* Remain in the TIME-WAIT state. Restart the time-wait
               * timeout.
               */
-             tcp_timer_update (tc0, TCP_TIMER_WAITCLOSE, TCP_2MSL_TIME);
+             tcp_timer_update (tc0, TCP_TIMER_WAITCLOSE, TCP_TIMEWAIT_TIME);
              break;
            }
          TCP_EVT_DBG (TCP_EVT_FIN_RCVD, tc0);
@@ -2453,16 +2584,11 @@ tcp46_rcv_process_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
       vlib_put_next_frame (vm, node, next_index, n_left_to_next);
     }
 
-  errors = session_manager_flush_enqueue_events (my_thread_index);
-  if (errors)
-    {
-      if (is_ip4)
-       vlib_node_increment_counter (vm, tcp4_established_node.index,
-                                    TCP_ERROR_EVENT_FIFO_FULL, errors);
-      else
-       vlib_node_increment_counter (vm, tcp6_established_node.index,
-                                    TCP_ERROR_EVENT_FIFO_FULL, errors);
-    }
+  errors = session_manager_flush_enqueue_events (TRANSPORT_PROTO_TCP,
+                                                my_thread_index);
+  tcp_node_inc_counter (vm, is_ip4, tcp4_rcv_process_node.index,
+                       tcp6_rcv_process_node.index,
+                       TCP_ERROR_EVENT_FIFO_FULL, errors);
 
   return from_frame->n_vectors;
 }
@@ -2537,7 +2663,6 @@ tcp46_listen_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
 {
   u32 n_left_from, next_index, *from, *to_next;
   u32 my_thread_index = vm->thread_index;
-  u8 sst = is_ip4 ? SESSION_TYPE_IP4_TCP : SESSION_TYPE_IP6_TCP;
 
   from = vlib_frame_vector_args (from_frame);
   n_left_from = from_frame->n_vectors;
@@ -2598,9 +2723,19 @@ tcp46_listen_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
 
          /* 3. check for a SYN (did that already) */
 
+         /* Make sure connection wasn't just created */
+         child0 =
+           tcp_lookup_connection (lc0->c_fib_index, b0, my_thread_index,
+                                  is_ip4);
+         if (PREDICT_FALSE (child0->state != TCP_STATE_LISTEN))
+           {
+             error0 = TCP_ERROR_CREATE_EXISTS;
+             goto drop;
+           }
+
          /* Create child session and send SYN-ACK */
          child0 = tcp_connection_new (my_thread_index);
-         child0->c_lcl_port = lc0->c_lcl_port;
+         child0->c_lcl_port = th0->dst_port;
          child0->c_rmt_port = th0->src_port;
          child0->c_is_ip4 = is_ip4;
          child0->state = TCP_STATE_SYN_RCVD;
@@ -2618,15 +2753,18 @@ tcp46_listen_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
                           sizeof (ip6_address_t));
            }
 
-         if (stream_session_accept (&child0->connection, lc0->c_s_index, sst,
+         if (stream_session_accept (&child0->connection, lc0->c_s_index,
                                     0 /* notify */ ))
            {
+             clib_warning ("session accept fail");
+             tcp_connection_cleanup (child0);
              error0 = TCP_ERROR_CREATE_SESSION_FAIL;
              goto drop;
            }
 
          if (tcp_options_parse (th0, &child0->rcv_opts))
            {
+             clib_warning ("options parse fail");
              goto drop;
            }
 
@@ -2651,7 +2789,7 @@ tcp46_listen_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
          child0->snd_wl2 = vnet_buffer (b0)->tcp.ack_number;
 
          tcp_connection_init_vars (child0);
-         TCP_EVT_DBG (TCP_EVT_SYN_RCVD, child0);
+         TCP_EVT_DBG (TCP_EVT_SYN_RCVD, child0, 1);
 
          /* Reuse buffer to make syn-ack and send */
          tcp_make_synack (child0, b0);
@@ -2747,6 +2885,7 @@ typedef enum _tcp_input_next
   TCP_INPUT_NEXT_SYN_SENT,
   TCP_INPUT_NEXT_ESTABLISHED,
   TCP_INPUT_NEXT_RESET,
+  TCP_INPUT_NEXT_PUNT,
   TCP_INPUT_N_NEXT
 } tcp_input_next_t;
 
@@ -2756,7 +2895,8 @@ typedef enum _tcp_input_next
   _ (RCV_PROCESS, "tcp4-rcv-process")           \
   _ (SYN_SENT, "tcp4-syn-sent")                 \
   _ (ESTABLISHED, "tcp4-established")          \
-  _ (RESET, "tcp4-reset")
+  _ (RESET, "tcp4-reset")                      \
+  _ (PUNT, "error-punt")
 
 #define foreach_tcp6_input_next                 \
   _ (DROP, "error-drop")                        \
@@ -2764,38 +2904,11 @@ typedef enum _tcp_input_next
   _ (RCV_PROCESS, "tcp6-rcv-process")           \
   _ (SYN_SENT, "tcp6-syn-sent")                 \
   _ (ESTABLISHED, "tcp6-established")          \
-  _ (RESET, "tcp6-reset")
+  _ (RESET, "tcp6-reset")                      \
+  _ (PUNT, "error-punt")
 
 #define filter_flags (TCP_FLAG_SYN|TCP_FLAG_ACK|TCP_FLAG_RST|TCP_FLAG_FIN)
 
-static u8
-tcp_lookup_is_valid (tcp_connection_t * tc, tcp_header_t * hdr)
-{
-  transport_connection_t *tmp;
-  if (!tc)
-    return 1;
-
-  u8 is_valid = (tc->c_lcl_port == hdr->dst_port
-                && (tc->state == TCP_STATE_LISTEN
-                    || tc->c_rmt_port == hdr->src_port));
-
-  if (!is_valid)
-    {
-      if ((tmp =
-          stream_session_half_open_lookup (&tc->c_lcl_ip, &tc->c_rmt_ip,
-                                           tc->c_lcl_port, tc->c_rmt_port,
-                                           tc->c_transport_proto)))
-       {
-         if (tmp->lcl_port == hdr->dst_port
-             && tmp->rmt_port == hdr->src_port)
-           {
-             clib_warning ("half-open is valid!");
-           }
-       }
-    }
-  return is_valid;
-}
-
 always_inline uword
 tcp46_input_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
                    vlib_frame_t * from_frame, int is_ip4)
@@ -2818,10 +2931,11 @@ tcp46_input_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
       while (n_left_from > 0 && n_left_to_next > 0)
        {
          int n_advance_bytes0, n_data_bytes0;
-         u32 bi0;
+         u32 bi0, fib_index0;
          vlib_buffer_t *b0;
          tcp_header_t *tcp0 = 0;
          tcp_connection_t *tc0;
+         transport_connection_t *tconn;
          ip4_header_t *ip40;
          ip6_header_t *ip60;
          u32 error0 = TCP_ERROR_NO_LISTENER, next0 = TCP_INPUT_NEXT_DROP;
@@ -2836,6 +2950,7 @@ tcp46_input_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
 
          b0 = vlib_get_buffer (vm, bi0);
          vnet_buffer (b0)->tcp.flags = 0;
+         fib_index0 = vnet_buffer (b0)->ip.fib_index;
 
          /* Checksum computed by ipx_local no need to compute again */
 
@@ -2847,15 +2962,14 @@ tcp46_input_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
                                  + tcp_header_bytes (tcp0));
              n_data_bytes0 = clib_net_to_host_u16 (ip40->length)
                - n_advance_bytes0;
-
-             tc0 =
-               (tcp_connection_t *)
-               stream_session_lookup_transport_wt4 (&ip40->dst_address,
+             tconn = session_lookup_connection_wt4 (fib_index0,
+                                                    &ip40->dst_address,
                                                     &ip40->src_address,
                                                     tcp0->dst_port,
                                                     tcp0->src_port,
-                                                    SESSION_TYPE_IP4_TCP,
+                                                    TRANSPORT_PROTO_TCP,
                                                     my_thread_index);
+             tc0 = tcp_get_connection_from_transport (tconn);
              ASSERT (tcp_lookup_is_valid (tc0, tcp0));
            }
          else
@@ -2866,15 +2980,14 @@ tcp46_input_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
              n_data_bytes0 = clib_net_to_host_u16 (ip60->payload_length)
                - n_advance_bytes0;
              n_advance_bytes0 += sizeof (ip60[0]);
-
-             tc0 =
-               (tcp_connection_t *)
-               stream_session_lookup_transport_wt6 (&ip60->dst_address,
+             tconn = session_lookup_connection_wt6 (fib_index0,
+                                                    &ip60->dst_address,
                                                     &ip60->src_address,
                                                     tcp0->dst_port,
                                                     tcp0->src_port,
-                                                    SESSION_TYPE_IP6_TCP,
+                                                    TRANSPORT_PROTO_TCP,
                                                     my_thread_index);
+             tc0 = tcp_get_connection_from_transport (tconn);
              ASSERT (tcp_lookup_is_valid (tc0, tcp0));
            }
 
@@ -2919,9 +3032,18 @@ tcp46_input_inline (vlib_main_t * vm, vlib_node_runtime_t * node,
            }
          else
            {
-             /* Send reset */
-             next0 = TCP_INPUT_NEXT_RESET;
-             error0 = TCP_ERROR_NO_LISTENER;
+             if ((is_ip4 && tm->punt_unknown4) ||
+                 (!is_ip4 && tm->punt_unknown6))
+               {
+                 next0 = TCP_INPUT_NEXT_PUNT;
+                 error0 = TCP_ERROR_PUNT;
+               }
+             else
+               {
+                 /* Send reset */
+                 next0 = TCP_INPUT_NEXT_RESET;
+                 error0 = TCP_ERROR_NO_LISTENER;
+               }
            }
 
        done:
@@ -3073,9 +3195,10 @@ do {                                                             \
   _(TIME_WAIT, TCP_FLAG_FIN | TCP_FLAG_ACK, TCP_INPUT_NEXT_RCV_PROCESS,
     TCP_ERROR_NONE);
   _(TIME_WAIT, TCP_FLAG_RST, TCP_INPUT_NEXT_RCV_PROCESS, TCP_ERROR_NONE);
-  _(CLOSED, TCP_FLAG_ACK, TCP_INPUT_NEXT_RESET, TCP_ERROR_CONNECTION_CLOSED);
+  _(TIME_WAIT, TCP_FLAG_ACK, TCP_INPUT_NEXT_RCV_PROCESS, TCP_ERROR_NONE);
+  _(CLOSED, TCP_FLAG_ACK, TCP_INPUT_NEXT_DROP, TCP_ERROR_CONNECTION_CLOSED);
   _(CLOSED, TCP_FLAG_RST, TCP_INPUT_NEXT_DROP, TCP_ERROR_CONNECTION_CLOSED);
-  _(CLOSED, TCP_FLAG_FIN | TCP_FLAG_ACK, TCP_INPUT_NEXT_RESET,
+  _(CLOSED, TCP_FLAG_FIN | TCP_FLAG_ACK, TCP_INPUT_NEXT_DROP,
     TCP_ERROR_CONNECTION_CLOSED);
 #undef _
 }