VPP-598: tcp stack initial commit
[vpp.git] / src / vnet / vxlan / vxlan.h
index adfa3a8..dca1cd1 100644 (file)
@@ -26,7 +26,7 @@
 #include <vnet/vxlan/vxlan_packet.h>
 #include <vnet/ip/ip4_packet.h>
 #include <vnet/ip/ip6_packet.h>
-#include <vnet/ip/udp.h>
+#include <vnet/udp/udp.h>
 #include <vnet/dpo/dpo.h>
 #include <vnet/adj/adj_types.h>