From: Florin Coras Date: Tue, 10 Mar 2020 23:19:53 +0000 (+0000) Subject: vppinfra: minimize tw verbosity on timebase jump X-Git-Tag: v20.09-rc0~429 X-Git-Url: https://gerrit.fd.io/r/gitweb?p=vpp.git;a=commitdiff_plain;h=31844c62b5b3af88cb688bbd33783b1146d0eb55 vppinfra: minimize tw verbosity on timebase jump Type: improvement Signed-off-by: Florin Coras Change-Id: I5db3457a9fed11d6ecf6eaabcdf8f1d1177b2a9f --- diff --git a/src/vppinfra/tw_timer_template.c b/src/vppinfra/tw_timer_template.c index 6b005fd2ff3..e78c309ebaa 100644 --- a/src/vppinfra/tw_timer_template.c +++ b/src/vppinfra/tw_timer_template.c @@ -540,8 +540,9 @@ static inline */ if (nticks > (1 << (TW_RING_SHIFT + 1))) { - clib_warning ("Excessive nticks %u at %.6f last run %.6f", - nticks, now, tw->last_run_time); + if (nticks / tw->ticks_per_second > 100e-3) + clib_warning ("Excessive nticks %u at %.6f last run %.6f", + nticks, now, tw->last_run_time); tw->last_run_time = now; return callback_vector_arg; }