gbp: use explicit types in api 35/23835/2
authorOle Troan <ot@cisco.com>
Fri, 6 Dec 2019 12:28:54 +0000 (13:28 +0100)
committerOle Trøan <otroan@employees.org>
Thu, 12 Dec 2019 16:10:40 +0000 (16:10 +0000)
Type: fix
Signed-off-by: Ole Troan <ot@cisco.com>
Change-Id: Ia886858fe608782d64a5346dd33e1e9fa22d8b8f

src/plugins/gbp/gbp.api

index 60c9965..5092a5e 100644 (file)
@@ -18,6 +18,7 @@ option version = "2.0.0";
 
 import "vnet/ip/ip_types.api";
 import "vnet/ethernet/ethernet_types.api";
+import "vnet/interface_types.api";
 
 enum gbp_bridge_domain_flags
 {
@@ -33,9 +34,9 @@ typedef gbp_bridge_domain
   u32 bd_id;
   u32 rd_id;
   vl_api_gbp_bridge_domain_flags_t flags;
-  u32 bvi_sw_if_index;
-  u32 uu_fwd_sw_if_index;
-  u32 bm_flood_sw_if_index;
+  vl_api_interface_index_t bvi_sw_if_index;
+  vl_api_interface_index_t uu_fwd_sw_if_index;
+  vl_api_interface_index_t bm_flood_sw_if_index;
 };
 
 manual_print autoreply define gbp_bridge_domain_add
@@ -68,8 +69,8 @@ typedef gbp_route_domain
   u32 rd_id;
   u32 ip4_table_id;
   u32 ip6_table_id;
-  u32 ip4_uu_sw_if_index;
-  u32 ip6_uu_sw_if_index;
+  vl_api_interface_index_t ip4_uu_sw_if_index;
+  vl_api_interface_index_t ip6_uu_sw_if_index;
   vl_api_gbp_scope_t scope;
 };
 
@@ -118,7 +119,7 @@ typedef gbp_endpoint_tun
 
 typedef gbp_endpoint
 {
-  u32 sw_if_index;
+  vl_api_interface_index_t sw_if_index;
   u16 sclass;
   vl_api_gbp_endpoint_flags_t flags;
   vl_api_mac_address_t mac;
@@ -173,7 +174,7 @@ typedef gbp_endpoint_group
   u16 sclass;
   u32 bd_id;
   u32 rd_id;
-  u32 uplink_sw_if_index;
+  vl_api_interface_index_t uplink_sw_if_index;
   vl_api_gbp_endpoint_retention_t retention;
 };
 
@@ -204,16 +205,16 @@ define gbp_endpoint_group_details
 
 typedef gbp_recirc
 {
-  u32 sw_if_index;
+  vl_api_interface_index_t sw_if_index;
   u16 sclass;
-  u8  is_ext;
+  bool is_ext;
 };
 
 manual_print autoreply define gbp_recirc_add_del
 {
   u32 client_index;
   u32 context;
-  u8  is_add;
+  bool is_add;
   vl_api_gbp_recirc_t recirc;
 };
 
@@ -241,7 +242,7 @@ enum gbp_subnet_type
 typedef gbp_subnet
 {
   u32 rd_id;
-  u32 sw_if_index;
+  vl_api_interface_index_t sw_if_index;
   u16 sclass;
   vl_api_gbp_subnet_type_t type;
   vl_api_prefix_t prefix;
@@ -251,7 +252,7 @@ manual_print autoreply define gbp_subnet_add_del
 {
   u32 client_index;
   u32 context;
-  u8  is_add;
+  bool is_add;
   vl_api_gbp_subnet_t subnet;
 };
 
@@ -318,7 +319,7 @@ manual_print define gbp_contract_add_del
 {
   u32 client_index;
   u32 context;
-  u8  is_add;
+  bool is_add;
   vl_api_gbp_contract_t contract;
 };
 define gbp_contract_add_del_reply
@@ -371,7 +372,7 @@ define gbp_vxlan_tunnel_add_reply
 {
   u32 context;
   i32 retval;
-  u32 sw_if_index;
+  vl_api_interface_index_t sw_if_index;
 };
 
 manual_print autoreply define gbp_vxlan_tunnel_del
@@ -401,7 +402,7 @@ enum gbp_ext_itf_flags
 
 typedef gbp_ext_itf
 {
-  u32 sw_if_index;
+  vl_api_interface_index_t sw_if_index;
   u32 bd_id;
   u32 rd_id;
   vl_api_gbp_ext_itf_flags_t flags;
@@ -411,7 +412,7 @@ manual_print autoreply define gbp_ext_itf_add_del
 {
   u32 client_index;
   u32 context;
-  u8  is_add;
+  bool is_add;
   vl_api_gbp_ext_itf_t ext_itf;
 };